搜索
bottom↓
回复: 9

关于always 的敏感信号列表

[复制链接]

出0入0汤圆

发表于 2010-6-11 15:00:16 | 显示全部楼层 |阅读模式
always 后面插号内是敏感信号列表,当敏感信号每变化一次该模块就执行一次

现举一例

integer a,b;

always @(posedge clk )
begin
         
    if(~ca)begin
       a <= 32'h0;  
       b <= 32'h0;  //(1)
    end
    else if(~cb)begin
       a <= d1;  
       b <= d2;    //(2)
    end
    else begin
       a <= d3;  
       b <= d4;    //(3)
    end   
end  

ca和cb是外部事件,你可以将它看做一个按键,当ca电平为0时,按理说a 和b应该是0,但有时候会出现一个任意的值,约二三十次会有一次
a 和B的值我是将它读出来在液晶上实时显示的

后来我将ca 和cb加到敏感信号列表后,改成如下
always @(posedge clk or negedge ca ornegedge cb) 就可以了

不知为什么上面那样不行

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-6-11 16:05:06 | 显示全部楼层
always @(posedge clk )

always @(posedge clk or negedge ca ornegedge cb)

的区别在于,一个是同步,一个是异步

但不至于为影响结果啊

出0入0汤圆

发表于 2010-6-11 16:59:01 | 显示全部楼层
高。

我的意思,连rtl综合视图都不看,就直接结论。真的很高。
那个下降沿检测也不错,不过消抖了更好。

出0入0汤圆

 楼主| 发表于 2010-6-11 17:10:42 | 显示全部楼层
真不知你想表达什么,这样的帖还是不要回复的好

与主题似乎没什么关系

出0入0汤圆

 楼主| 发表于 2010-6-11 22:27:42 | 显示全部楼层
回复【2楼】tear086 .COM 缺氧
高。
我的意思,连rtl综合视图都不看,就直接结论。真的很高。
那个下降沿检测也不错,不过消抖了更好。
-----------------------------------------------------------------------
奇怪

你咋知道我没看RTL视图

出0入0汤圆

发表于 2010-6-12 01:00:58 | 显示全部楼层
把a和b改成32位寄存器试试。

出0入0汤圆

 楼主| 发表于 2010-6-12 08:20:39 | 显示全部楼层
不知是不是integer 的原因

reg和integer综合出来的rtl是一样的。

出0入0汤圆

发表于 2010-6-12 08:52:40 | 显示全部楼层
回复【6楼】liu_xf 新发
-----------------------------------------------------------------------




参考:Summary for IEEE Verilog 1363-2001.pdf(文件大小:670K)

出0入0汤圆

发表于 2010-6-12 16:56:02 | 显示全部楼层
回复【6楼】liu_xf 新发
-----------------------------------------------------------------------

这样试试

integer [31:0] a, b;

出0入0汤圆

 楼主| 发表于 2010-6-13 08:06:40 | 显示全部楼层
感谢楼上各位的回复,我测试一下,完了把结果发上来
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:33

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表