搜索
bottom↓
回复: 3

编译出错,请高手指教

[复制链接]

出0入0汤圆

发表于 2010-5-19 10:42:13 | 显示全部楼层 |阅读模式
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_SIGNED.ALL;

entity control_module is
port(
      wclk   :in  std_logic;
      rclk   :in  std_logic;
      wr_en  :in  std_logic;
      ce_1    :out  std_logic;
      ce_2    :out  std_logic;
      rce_1   :out  std_logic;
      rce_2   :out  std_logic);
end  control_module ;

architecture Behavioral of  control_module  is

signal wr_flag  :std_logic_vector(10 downto 0):="00000000000";
signal rd_flag  :std_logic_vector(10 downto 0):="00000000000";
signal ce1_reg  :std_logic:='1';
signal ce2_reg  :std_logic:='0';
signal rce1_reg :std_logic:='0';
signal rce2_reg :std_logic:='1';

begin
p1:process(wclk,rclk,wr_en)
begin
if(wr_en='1') then
  if rising_edge(wclk) then
  wr_flag(10 downto 0)<=wr_flag(10 downto 0)+1;
  if rising_edge(rclk) then
  rd_flag(10 downto 0)<=rd_flag(10 downto 0)+1;
   if wr_flag(10 downto 0)="01111111111" then
   if rd_flag(10 downto 0)="01111111111" then
      rce1_reg<='1';
      rce2_reg<='0';
      rce_1<=rce1_reg;
      rce_2<=rce2_reg;
      ce1_reg<='0';
      ce2_reg<='1';
      ce_1<=ce1_reg;
      ce_2<=ce2_reg;
   elsif wr_flag(10 downto 0)="11111111111" then
      if rd_flag(10 downto 0)="11111111111" then
      rce1_reg<='0';
      rce2_reg<='1';
      rce_1<=rce1_reg;
      rce_2<=rce2_reg;
      ce1_reg<='1';
      ce2_reg<='0';
      ce_1<=ce1_reg;
      ce_2<=ce2_reg;
   end if;
  end if;
end if;
end if;
end if;
end if;
end process;

p2:process(wclk,rclk,wr_en)
begin
if(wr_en='1') then
  if rising_edge(wclk) then
  wr_flag(10 downto 0)<=wr_flag(10 downto 0)+1;
  if rising_edge(rclk) then
  rd_flag(10 downto 0)<=rd_flag(10 downto 0)+1;
   if wr_flag(9 downto 0)= "1111111111" then
   if rd_flag(9 downto 0)/="1111111111" then
      ce1_reg<='0';
      ce2_reg<='0';
      ce_1<=ce1_reg;
      ce_2<=ce2_reg;
   end if;
  end if;
end if;
end if;
end if;
end process;

end  Behavioral;






Error (10821): HDL error at control_module.vhd(65): can't infer register for "ce_2" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "ce_1" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "ce2_reg" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "ce1_reg" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[0]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[1]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[2]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[3]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[4]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[5]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[6]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[7]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[8]" because its behavior does not match any supported register model
Error (10821): HDL error at control_module.vhd(65): can't infer register for "rd_flag[9]" because its behavior does not match any supported register model

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-5-19 16:58:26 | 显示全部楼层
rd_flag(10 downto 0)+1;

这个不能直接加1吧?

出0入0汤圆

发表于 2010-6-17 22:56:33 | 显示全部楼层

出0入0汤圆

发表于 2011-3-15 16:32:53 | 显示全部楼层
同问   后来 怎么改的
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表