搜索
bottom↓
回复: 3

请教~~~~~~~~~~~~~~~~~~~~~~~关于数据与宏的问题

[复制链接]

出0入55汤圆

发表于 2010-5-15 16:11:57 | 显示全部楼层 |阅读模式
如果我想把counter_a和counter_b变大一点。大概大到32位。如果我这样
signal counter_a,counter_b: std_logic_vector(31 downto 0);
编译时会说总共用了161个宏,我用的是7128只有128个宏。不够。可是我又不想改小计数器。应该怎么办呢?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入55汤圆

 楼主| 发表于 2010-5-15 16:18:28 | 显示全部楼层
我用signal counter_a,counter_b: std_logic_vector(15 downto 0);总用宏是86个;
signal counter_a,counter_b: std_logic_vector(17 downto 0);总用宏是93个。为什么会这样呢?

出0入0汤圆

发表于 2010-5-15 16:54:43 | 显示全部楼层
你仔细看看max 3000系列的Macrocell/LAB的结构就明白了,首先它一个Macrocell的最大扇入
是32+16,一个macrocell对应一个Flip-flop,如果你把位数增加了,决定一位的逻辑可能不能
在一个marcocell里面完全实现,需要占用临近的macrocell的资源。另外,由于一个LAB内恰好有
16个macrocell,如果你把位数提高到16位以上,那么一个计数器就不能在一个LAB内部实现,但
由于LAB之间的布线资源不如LAB内部的那样丰富、灵活,所以也会导致这种非线性资源使用。

只是两种可能,具体的话,你可以看看RTL图。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:31

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表