搜索
bottom↓
回复: 8

 看过特权(吴厚航)的;深入浅出玩转FPGA视频学习课程Lesson 7 exp1 后的一点疑问。。。

[复制链接]

出0入0汤圆

发表于 2010-5-8 22:30:37 | 显示全部楼层 |阅读模式
FPGA菜鸟问题。代码如下, 第二个always相关语句稍作调整完全可以和第一个always合并,这样分开写有何特殊考虑?

module clkdiv(
                        clk,rst_n,
                        clk_div       
                );

input clk;                //50MHz
input rst_n;        //低电平复位信号

output clk_div;        //分频信号,连接到蜂鸣器

//---------------------------------------------------
reg[19:0] cnt;        //分频计数器

always @ (posedge clk or negedge rst_n)        //异步复位
        if(!rst_n) cnt <= 20'd0;
        else cnt <= cnt+1'b1;        //寄存器cnt 20ms循环计数

//----------------------------------------------------
reg clk_div_r;        //clk_div信号值寄存器

always @ (posedge clk or negedge rst_n)
        if(!rst_n) clk_div_r <= 1'b0;
        else if(cnt == 20'hfffff) clk_div_r <= ~clk_div_r;        //每20ms让clk_div_r值翻转一次

assign clk_div = clk_div_r;       

endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-5-8 23:21:35 | 显示全部楼层
这样写条理比较清晰,便于分析调试。倘若将许多寄存器杂糅在一个always块内,分析起来也是有点小难度的。

出0入0汤圆

发表于 2010-5-8 23:36:14 | 显示全部楼层
这个没什么啦,习惯而已。一个always写太大的话,可读性会变差

出0入0汤圆

 楼主| 发表于 2010-5-9 10:48:03 | 显示全部楼层
谢谢两位热心回答,看来编程风格方面还要多加学习。
特别感谢一下tear086 .COM 缺氧上传的视屏,
特权的讲的东西不错,感觉和一个大学讲师水平差不了多少,不知道他在此有账号没有?

出0入0汤圆

发表于 2012-4-11 09:57:51 | 显示全部楼层
进程 并行 运行 编程就与MCU有挺大区别的。

出0入0汤圆

发表于 2012-4-11 16:29:29 | 显示全部楼层
嗯,养成良好的编程风格还是很重要的。

出0入0汤圆

发表于 2012-4-14 09:15:46 | 显示全部楼层
是的啊,学习中!

出0入0汤圆

发表于 2012-4-14 12:10:16 | 显示全部楼层
习惯   养成好的习惯  省去不少麻烦

出0入0汤圆

发表于 2012-7-28 11:33:44 | 显示全部楼层
only 发表于 2010-5-9 10:48
谢谢两位热心回答,看来编程风格方面还要多加学习。
特别感谢一下tear086 .COM 缺氧上传的视屏,
特权的讲 ...

我也在看他的视频,不知道有他的代码和PDF没、
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:20

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表