搜索
bottom↓
回复: 0

双向端口的仿真

[复制链接]

出0入0汤圆

发表于 2010-4-20 20:46:58 | 显示全部楼层 |阅读模式
按照duoduo(在此表示由衷的感谢)的《CPLD/FPGA中双向总线的实现方法》中的程序,如下:
/*****************************************/
module BiBus(hwr_,hrd_,hd,swr_,srd_,sd);
input hwr_;
input hrd_;
inout [7:0] hd;
output swr_;
output srd_;
inout [7:0] sd;  
/*****************************************/
wire hd_out_oe;
reg [7:0] hd_out;
wire sd_out_oe;
reg [7:0] sd_out;
/*****************************************/
always @(hwr_) begin
if(!hwr_) sd_out=hd;
end
/*****************************************/
always @(hrd_) begin
if (!hrd_) hd_out=sd;
end
/*****************************************/
assign swr_=hwr_;
assign srd_=hrd_;
assign sd_out_oe=!hwr_;
assign hd_out_oe=!hrd_;
assign hd=hd_out_oe?hd_out:8'hzz;
assign sd=sd_out_oe?sd_out:8'hzz;
/*****************************************/
endmodule
/*****************************************/
设计双向总线,为什么仿真总不对,波形如下:

(原文件名:1.jpg)


(原文件名:2.jpg)
仿真时设置为写信号为低,读信号为高,应该是sd=hd才对啊,仿真时已把hd值为11111111了,为什么输出的sd为高阻状态,而且swr_和srd_的状态也不对,高手指教。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 19:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表