搜索
bottom↓
回复: 2

verilog 寄存器初始化

[复制链接]

出0入0汤圆

发表于 2010-4-16 14:57:36 | 显示全部楼层 |阅读模式
想在verilog里像C语言那样用数组,可是用了一段时间才发现,原来在verilog里面不是数组,而是寄存器,虽然用法相同,但是初始化就是个问题了,请高手给指点一下,如何初始化,最好给一个参考示例,谢谢了

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2014-3-13 10:31:32 | 显示全部楼层
reg [15:0] j=16'd10;

即可

出0入0汤圆

发表于 2014-3-14 21:50:37 | 显示全部楼层
本帖最后由 wkun 于 2014-3-14 21:54 编辑

verilog HDL 只能对存储器(相当于c 中的数组)中的每个单元进行赋值,不能将存储器作为一个整体在一条语句中对它赋值,也不能对存储器一个单元的几位进行赋值。
错误例子:
reg datamen [5:1];
initial
        datamen= 5'b11011;  //错误的。

正确的例子:
reg [3:0] romA [4:1];
initial
        begin
                romA[4]= 4'hA;
                romA[3]= 4'h8;
                romA[2]= 4'hF;
                romA[1]= 4'h2;
        end
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 01:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表