搜索
bottom↓
回复: 19

ISE 11.1安装求救

[复制链接]

出0入0汤圆

发表于 2010-3-18 11:37:39 | 显示全部楼层 |阅读模式
不知道为什么安装ISE11.1后,运行出错,有用破解的,出错提示如下:ERROR:Map:258 - A problem was encountered attempting to get the license for this architecture.

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-3-18 11:38:19 | 显示全部楼层
本人新手,请指教!

出0入0汤圆

 楼主| 发表于 2010-3-18 11:42:35 | 显示全部楼层

(原文件名:1.GIF)

以上是注册LICENSE

出0入0汤圆

发表于 2010-3-18 11:47:43 | 显示全部楼层
lic不对,给你一个完全版本的,包括大部分IP

INCREMENT System_Edition xilinxd 2010.04 permanent uncounted \
        3711090EC779 \
        VENDOR_STRING=oDDiTy,Bought,System_Edition,software HOSTID=ANY \
        ISSUER="some bastard" START=27-Apr-2009 TS_OK
PACKAGE System_Edition xilinxd 2010.04 90E2F1963457 \
        COMPONENTS="AccelDSP ChipscopePro_SIOTK ChipscopePro ISIM \
        SysGen ISE sdk xps PlanAhead PartialReconfiguration" \
        OPTIONS=SUITE
INCREMENT xaui xilinxd 2010.06 permanent uncounted 80132AA1F87B \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xaui,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xaui_v6 xilinxd 1.0 permanent uncounted C57E21BE6FB1 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xaui_v3_0 xilinxd 1.0 permanent uncounted FFE3EC75D5CA \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xaui_v8 xilinxd 1.0 permanent uncounted B1B6DDDAE943 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xaui_v5_0 xilinxd 1.0 permanent uncounted C4C4460F03C8 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xaui_v7 xilinxd 1.0 permanent uncounted 5307EDCA60BA \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xaui_v4_0 xilinxd 1.0 permanent uncounted EF21FF9A36C3 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT aurora xilinxd 2010.06 permanent uncounted 5EF51D623685 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,aurora,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE aurora_v3 xilinxd 1.0 permanent uncounted 2E0912EA3001 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE aurora_v2 xilinxd 1.0 permanent uncounted 04DF1F85EC44 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT aurora_8b10b xilinxd 2010.06 permanent uncounted \
        4BAFD45B22F7 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,aurora_8b10b,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE aurora_8b10b_v4 xilinxd 1.0 permanent uncounted 44B44A4488CF \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT searcher_3gpp xilinxd 2010.06 permanent uncounted \
        0241D1F8C5AF \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,searcher_3gpp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE searcher_3gpp_v1 xilinxd 1.0 permanent uncounted C567CE57B54D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT plb_pci xilinxd 2010.06 permanent uncounted B94448C575D1 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plb_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plb_pci_v1 xilinxd 1.0 permanent uncounted 943DDDF74F7C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_sp xilinxd 2010.06 permanent uncounted 39E35D119939 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_sp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci32_sp_v3_1 xilinxd 1.0 permanent uncounted 02A3B6BD67E9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci32_sp_v3_0 xilinxd 1.0 permanent uncounted DCEF5738F82D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT opb_pci xilinxd 2010.06 permanent uncounted 7E4F1DDF36F0 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_pci_v1 xilinxd 1.0 permanent uncounted 3E58F04AE13E \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_a xilinxd 1.0 permanent uncounted 32133377351C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_b xilinxd 1.0 permanent uncounted 03246FDFDC2B \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_c xilinxd 1.0 permanent uncounted 995437EBB726 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_spng xilinxd 2010.06 permanent uncounted 5F59B4CD4C23 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_spng,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
INCREMENT plbv46_pci xilinxd 2010.06 permanent uncounted 7A6DBCF9BCDE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plbv46_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plbv46_pci_v1 xilinxd 1.0 permanent uncounted 9D6E56E3BC77 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci64_sp xilinxd 2010.06 permanent uncounted E0629A4AE061 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci64_sp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci64_sp_v3_0 xilinxd 1.0 permanent uncounted 0C639EB6FB06 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_sp_v3_1 xilinxd 1.0 permanent uncounted 5E8E89AB3602 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci64 xilinxd 2010.06 permanent uncounted FE96D07ADD15 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci64,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci64_v4 xilinxd 1.0 permanent uncounted 4251B896EB91 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_v3_1 xilinxd 1.0 permanent uncounted 1E4A1F1023E1 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_v3_0 xilinxd 1.0 permanent uncounted 21442C072F00 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci64_vx xilinxd 2010.06 permanent uncounted B9583B9271B9 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci64_vx,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci64_vx_v3_0 xilinxd 1.0 permanent uncounted A4939777D03D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_vx_v3_1 xilinxd 1.0 permanent uncounted 929EF8861191 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_vx xilinxd 2010.06 permanent uncounted C231443FD619 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_vx,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci32_vx_v3_1 xilinxd 1.0 permanent uncounted 08F06D8046E0 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci32_vx_v3_0 xilinxd 1.0 permanent uncounted 52CC8849518C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci64_sp xilinxd 2010.06 permanent uncounted E0629A4AE061 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci64_sp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci64_sp_v3_0 xilinxd 1.0 permanent uncounted 0C639EB6FB06 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_sp_v3_1 xilinxd 1.0 permanent uncounted 5E8E89AB3602 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_sp xilinxd 2010.06 permanent uncounted 39E35D119939 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_sp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci32_sp_v3_1 xilinxd 1.0 permanent uncounted 02A3B6BD67E9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci32_sp_v3_0 xilinxd 1.0 permanent uncounted DCEF5738F82D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT plbv46_pci xilinxd 2010.06 permanent uncounted 7A6DBCF9BCDE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plbv46_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plbv46_pci_v1 xilinxd 1.0 permanent uncounted 9D6E56E3BC77 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32 xilinxd 2010.06 permanent uncounted 270AEC8DB001 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci32_v4 xilinxd 1.0 permanent uncounted 85ECE71BB375 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci64_vx xilinxd 2010.06 permanent uncounted B9583B9271B9 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci64_vx,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci64_vx_v3_0 xilinxd 1.0 permanent uncounted A4939777D03D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_vx_v3_1 xilinxd 1.0 permanent uncounted 929EF8861191 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci64 xilinxd 2010.06 permanent uncounted FE96D07ADD15 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci64,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci64_v4 xilinxd 1.0 permanent uncounted 4251B896EB91 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_v3_1 xilinxd 1.0 permanent uncounted 1E4A1F1023E1 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci64_v3_0 xilinxd 1.0 permanent uncounted 21442C072F00 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_spng xilinxd 2010.06 permanent uncounted 5F59B4CD4C23 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_spng,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
INCREMENT plb_pci xilinxd 2010.06 permanent uncounted B94448C575D1 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plb_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plb_pci_v1 xilinxd 1.0 permanent uncounted 943DDDF74F7C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT opb_pci xilinxd 2010.06 permanent uncounted 7E4F1DDF36F0 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_pci_v1 xilinxd 1.0 permanent uncounted 3E58F04AE13E \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_a xilinxd 1.0 permanent uncounted 32133377351C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_b xilinxd 1.0 permanent uncounted 03246FDFDC2B \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_c xilinxd 1.0 permanent uncounted 995437EBB726 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT tcc_decoder_3gpp xilinxd 2010.06 permanent uncounted \
        15B068BEBED4 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_decoder_3gpp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_decoder_3gpp_v3 xilinxd 1.0 permanent uncounted \
        F3173778BCE6 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_decoder_3gpp_v1 xilinxd 1.0 permanent uncounted \
        43242BC658B2 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_decoder_3gpp_v2 xilinxd 1.0 permanent uncounted \
        B3CD1D257C9A VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT tcc_dec_802_16e xilinxd 2010.06 permanent uncounted \
        1DFA702B4F70 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_dec_802_16e,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_dec_802_16e_v3 xilinxd 1.0 permanent uncounted \
        2E347107FCEF VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT xps_ll_temac xilinxd 2010.06 permanent uncounted \
        0CB6E8C7E7DD \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xps_ll_temac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_ll_temac_v2 xilinxd 1.0 permanent uncounted ABFA9A8840F0 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xps_ll_temac_v1 xilinxd 1.0 permanent uncounted F23DB22C399D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT opb_ethernet xilinxd 2010.06 permanent uncounted \
        219798FA786E \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_ethernet,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_ethernet_v1 xilinxd 1.0 permanent uncounted FC9EB335B3CA \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_ethernet_v1_00_j xilinxd 1.0 permanent uncounted \
        8BC5B3D18B19 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE opb_ethernet_v1_00_k xilinxd 1.0 permanent uncounted \
        42EFA41EE507 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE opb_ethernet_v1_00_l xilinxd 1.0 permanent uncounted \
        0A7BAD678B4D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE opb_ethernet_v1_00_m xilinxd 1.0 permanent uncounted \
        7E53D6E48D4B VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE opb_ethernet_v1_01_a xilinxd 1.0 permanent uncounted \
        BD7F05F1D35C VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT soft_temac_wrap xilinxd 2010.06 permanent uncounted \
        116E4293F63D \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,soft_temac_wrap,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE soft_temac_wrap_v2 xilinxd 1.0 permanent uncounted \
        E633CE2FFDEC VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT plb_ethernet xilinxd 2010.06 permanent uncounted \
        0B6CD5859E7B \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plb_ethernet,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plb_ethernet_v1_00_a xilinxd 1.0 permanent uncounted \
        77F7C999F9B8 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE plb_ethernet_v1 xilinxd 1.0 permanent uncounted A7AD40F44332 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT tri_mode_eth_mac xilinxd 2010.06 permanent uncounted \
        88DF1E91D5EE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tri_mode_eth_mac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tri_mode_eth_mac_v3 xilinxd 1.0 permanent uncounted \
        C480DDAA046D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v1_1 xilinxd 1.0 permanent uncounted \
        C578E56C1E66 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v4 xilinxd 1.0 permanent uncounted \
        0A5693D31C61 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v2 xilinxd 1.0 permanent uncounted \
        E72507C1C835 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT v_ycrcb2rgb xilinxd 2010.06 permanent uncounted \
        9BBCF40FAF61 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_ycrcb2rgb,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v_ycrcb2rgb_v2 xilinxd 1.0 permanent uncounted 19E1FB6E9CC9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v_gamma xilinxd 2010.06 permanent uncounted 6AE1A4ED522F \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_gamma,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v_gamma_v1 xilinxd 1.0 permanent uncounted DCE85646C643 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT dlcr_3gpp xilinxd 2010.06 permanent uncounted 7302A188AEFC \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,dlcr_3gpp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE dlcr_3gpp_v1 xilinxd 1.0 permanent uncounted DBE4D0F1E50C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pcie_blk_plus xilinxd 2010.06 permanent uncounted \
        F667FEAD7F86 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pcie_blk_plus,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pcie_blk_plus_v1 xilinxd 1.0 permanent uncounted 8203A8B8FBCF \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT most_nic xilinxd 2010.06 permanent uncounted C54EEDE9C6E6 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,most_nic,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE most_nic_v1 xilinxd 1.0 permanent uncounted 7260A8AA3CE5 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT xps_most_nic xilinxd 2010.06 permanent uncounted \
        8D8BDB05407A \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xps_most_nic,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_most_nic_v1 xilinxd 1.0 permanent uncounted CEF11B380857 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT rach_3gpp xilinxd 2010.06 permanent uncounted F85C26917803 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,rach_3gpp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE rach_3gpp_v1 xilinxd 1.0 permanent uncounted 95A55903BE06 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v_ccm xilinxd 2010.06 permanent uncounted D95F8FC4D366 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_ccm,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v_ccm_v1 xilinxd 1.0 permanent uncounted 349AD288DCF8 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v_timebase xilinxd 2010.06 permanent uncounted A577347734F1 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_timebase,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
INCREMENT tcc_enc_802_16e xilinxd 2010.06 permanent uncounted \
        2AA9F6D13EE8 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_enc_802_16e,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_enc_802_16e_v2 xilinxd 1.0 permanent uncounted \
        21609F526CF5 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_enc_802_16e_v3 xilinxd 1.0 permanent uncounted \
        01CEA26BC49A VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_enc_802_16e_v1 xilinxd 1.0 permanent uncounted \
        625E88F19808 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT tcc_encoder_3gpplte xilinxd 2010.06 permanent uncounted \
        67357127CA91 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_encoder_3gpplte,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_encoder_3gpplte_v3 xilinxd 1.0 permanent uncounted \
        F82DEC9A1319 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_encoder_3gpplte_v2 xilinxd 1.0 permanent uncounted \
        B30B0D942CF0 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_encoder_3gpplte_v1 xilinxd 1.0 permanent uncounted \
        583C0AB2E9F7 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT v5_emac xilinxd 2010.06 permanent uncounted F764049C6082 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v5_emac,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v5_emac_v1 xilinxd 1.0 permanent uncounted AC1E22786E09 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_sp xilinxd 2010.06 permanent uncounted 39E35D119939 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_sp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci32_sp_v3_1 xilinxd 1.0 permanent uncounted 02A3B6BD67E9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci32_sp_v3_0 xilinxd 1.0 permanent uncounted DCEF5738F82D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_vx xilinxd 2010.06 permanent uncounted C231443FD619 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_vx,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci32_vx_v3_1 xilinxd 1.0 permanent uncounted 08F06D8046E0 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pci32_vx_v3_0 xilinxd 1.0 permanent uncounted 52CC8849518C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32 xilinxd 2010.06 permanent uncounted 270AEC8DB001 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci32_v4 xilinxd 1.0 permanent uncounted 85ECE71BB375 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT plb_pci xilinxd 2010.06 permanent uncounted B94448C575D1 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plb_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plb_pci_v1 xilinxd 1.0 permanent uncounted 943DDDF74F7C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci32_spng xilinxd 2010.06 permanent uncounted 5F59B4CD4C23 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci32_spng,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
INCREMENT opb_pci xilinxd 2010.06 permanent uncounted 7E4F1DDF36F0 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_pci_v1 xilinxd 1.0 permanent uncounted 3E58F04AE13E \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_a xilinxd 1.0 permanent uncounted 32133377351C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_b xilinxd 1.0 permanent uncounted 03246FDFDC2B \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_pci_v1_00_c xilinxd 1.0 permanent uncounted 995437EBB726 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT plbv46_pci xilinxd 2010.06 permanent uncounted 7A6DBCF9BCDE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plbv46_pci,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plbv46_pci_v1 xilinxd 1.0 permanent uncounted 9D6E56E3BC77 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v_spc xilinxd 2010.06 permanent uncounted D4ACFF3B548E \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_spc,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v_spc_v1 xilinxd 1.0 permanent uncounted AA87815DB4EC \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT xpc_iic xilinxd 2010.06 permanent uncounted 8A4EE7964A27 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xpc_iic,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_iic_v2 xilinxd 1.0 permanent uncounted 7956A0F5CBE6 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xps_iic_v1 xilinxd 1.0 permanent uncounted F65C9D089BD9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT opb_iic xilinxd 2010.06 permanent uncounted 5037B8BF3282 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_iic,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_iic_v1 xilinxd 1.0 permanent uncounted A0C0D6C63AA5 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_iic_v1_01_a xilinxd 1.0 permanent uncounted 320F2AA22199 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_iic_v1_01_b xilinxd 1.0 permanent uncounted 4175DA1E6498 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT dvb_s2_fec_encoder xilinxd 2010.06 permanent uncounted \
        E067B5D4A7DE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,dvb_s2_fec_encoder,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE dvb_s2_fec_encoder_v1 xilinxd 1.0 permanent uncounted \
        BE983DE9D354 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT pcix64 xilinxd 2010.06 permanent uncounted AFE0D03DA231 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pcix64,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pcix64_v5_1 xilinxd 1.0 permanent uncounted 7374CE37EE04 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pcix64_v5_0 xilinxd 1.0 permanent uncounted E53F24D6EEA0 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pcix xilinxd 2010.06 permanent uncounted DB331D40580B \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pcix,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pcix_v6 xilinxd 1.0 permanent uncounted EFC2C8476F75 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT rs_decoder xilinxd 2010.06 permanent uncounted 7BF8FEBD64C1 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,rs_decoder,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE rs_decoder_v5_1 xilinxd 1.0 permanent uncounted 721103BF4FE9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rs_decoder_v4_1 xilinxd 1.0 permanent uncounted 0BDC0A02AAB7 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rs_decoder_v4_0 xilinxd 1.0 permanent uncounted 99E43A8E4B3C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rs_decoder_v6 xilinxd 1.0 permanent uncounted 27B99D69F89D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rs_decoder_v5_0 xilinxd 1.0 permanent uncounted 4A85E3BBD84A \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v_cfa xilinxd 2010.06 permanent uncounted EF2F394F8C4C \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_cfa,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v_cfa_v1 xilinxd 1.0 permanent uncounted 2533ED65C624 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pl4_lite xilinxd 2010.06 permanent uncounted 3D62B1E0DB14 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pl4_lite,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pl4_lite_v3 xilinxd 1.0 permanent uncounted 5F808284437A \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_lite_v4 xilinxd 1.0 permanent uncounted E00A10A1DF86 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pl4 xilinxd 2010.06 permanent uncounted B9F278CB64F4 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pl4,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pl4_v7_0 xilinxd 1.0 permanent uncounted 24DFC4A57A19 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_v7_3 xilinxd 1.0 permanent uncounted 207ADCF16C12 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_v7_4 xilinxd 1.0 permanent uncounted 99CFE405927D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_v8 xilinxd 1.0 permanent uncounted D88EBCE625D1 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_v9 xilinxd 1.0 permanent uncounted 072DEBB8E45A \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_v6 xilinxd 1.0 permanent uncounted 67767AAEEB5F \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_v7_1 xilinxd 1.0 permanent uncounted 86A7AC0D0C7C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE pl4_v7_2 xilinxd 1.0 permanent uncounted 8A20B4B90A6F \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT sid xilinxd 2010.06 permanent uncounted 5ED2A00FB74D \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,sid,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE sid_v4_0 xilinxd 1.0 permanent uncounted B38FEF6B53F0 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE sid_v3_1 xilinxd 1.0 permanent uncounted 288138D9C026 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE sid_v5 xilinxd 1.0 permanent uncounted 86E42504F270 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE sid_v3_0 xilinxd 1.0 permanent uncounted 80199895BEED \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pci_express xilinxd 2010.06 permanent uncounted \
        A17FBE85CE73 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pci_express,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pci_express_v3 xilinxd 1.0 permanent uncounted 581F991122CA \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT pcie_pipe xilinxd 2010.06 permanent uncounted 6EEF90A394A0 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,pcie_pipe,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE pcie_pipe_v1 xilinxd 1.0 permanent uncounted 1B313DF93F78 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT xps_uart16550 xilinxd 2010.06 permanent uncounted \
        44E6A48C4D37 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xps_uart16550,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_uart16550_v1 xilinxd 1.0 permanent uncounted F2D7A27C0E36 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT opb_uart16550 xilinxd 2010.06 permanent uncounted \
        51A2AFE48686 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_uart16550,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_uart16550_v1 xilinxd 1.0 permanent uncounted DBFA7D044F5C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE opb_uart16550_v1_00_c xilinxd 1.0 permanent uncounted \
        EF8FEBADB04B VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT plb_uart16550 xilinxd 2010.06 permanent uncounted \
        7BEDD2333AB0 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plb_uart16550,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plb_uart16550_v1_00_b xilinxd 1.0 permanent uncounted \
        ABADABD7D74E VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE plb_uart16550_v1_00_c xilinxd 1.0 permanent uncounted \
        468DC41A7C62 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT spi3_phy xilinxd 2010.06 permanent uncounted CBD05B8AF163 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,spi3_phy,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE spi3_phy_v5 xilinxd 1.0 permanent uncounted E39A53F54DA9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE spi3_phy_v4 xilinxd 1.0 permanent uncounted 5E6599347115 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT spi3_link xilinxd 2010.06 permanent uncounted 862D39616C06 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,spi3_link,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE spi3_link_v5 xilinxd 1.0 permanent uncounted 002657AAD2FE \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE spi3_link_v4 xilinxd 1.0 permanent uncounted 02D9780F54C4 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v6_emac xilinxd 2010.06 permanent uncounted 24FA6B807281 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v6_emac,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v6_emac_v1 xilinxd 1.0 permanent uncounted 35B2336A87C7 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT soft_temac_wrap xilinxd 2010.06 permanent uncounted \
        116E4293F63D \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,soft_temac_wrap,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE soft_temac_wrap_v2 xilinxd 1.0 permanent uncounted \
        E633CE2FFDEC VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT plb_temac xilinxd 2010.06 permanent uncounted 5E8FB0DEFBF5 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plb_temac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plb_temac_v1 xilinxd 1.0 permanent uncounted D47510162FBA \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT tri_mode_eth_mac xilinxd 2010.06 permanent uncounted \
        88DF1E91D5EE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tri_mode_eth_mac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tri_mode_eth_mac_v3 xilinxd 1.0 permanent uncounted \
        C480DDAA046D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v1_1 xilinxd 1.0 permanent uncounted \
        C578E56C1E66 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v4 xilinxd 1.0 permanent uncounted \
        0A5693D31C61 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v2 xilinxd 1.0 permanent uncounted \
        E72507C1C835 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT xps_ll_temac xilinxd 2010.06 permanent uncounted \
        A0D425B35725 \
        VENDOR_STRING="License_Type:Bought;some.bastard@(敏感词0386).you, \
        xps_ll_temac,ip,evaluation" HOSTID=ANY ISSUER="Xilinx Inc"
FEATURE xps_ll_temac_v2 xilinxd 1.0 permanent uncounted ABFA9A8840F0 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xps_ll_temac_v1 xilinxd 1.0 permanent uncounted F23DB22C399D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT gig_eth_pcs_pma xilinxd 2010.06 permanent uncounted \
        0EAE127DAC7F \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,gig_eth_pcs_pma,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE gig_eth_pcs_pma_v8 xilinxd 1.0 permanent uncounted \
        BF128D72157B VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE gig_eth_pcs_pma_v4_0 xilinxd 1.0 permanent uncounted \
        8FFBEC0CBB28 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE gig_eth_pcs_pma_v6 xilinxd 1.0 permanent uncounted \
        A8B26F2CE13D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE gig_eth_pcs_pma_v3_0 xilinxd 1.0 permanent uncounted \
        B9B853ED4931 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE gig_eth_pcs_pma_v5_0 xilinxd 1.0 permanent uncounted \
        52E9FD776543 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE gig_eth_pcs_pma_v9 xilinxd 1.0 permanent uncounted \
        6E6A72D1D952 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE gig_eth_pcs_pma_v7 xilinxd 1.0 permanent uncounted \
        B4FD54835DF4 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE gig_eth_pcs_pma_v10 xilinxd 1.0 permanent uncounted \
        19B476F0F68F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT v_scaler xilinxd 2010.06 permanent uncounted 68D99E845B95 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_scaler,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v_scaler_v1 xilinxd 1.0 permanent uncounted 8A34E892CCCF \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT s6_pcie xilinxd 2010.06 permanent uncounted EAA09437C0E3 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,s6_pcie,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE s6_pcie_v1 xilinxd 1.0 permanent uncounted 4C328B57AC48 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT srio_phy xilinxd 2010.06 permanent uncounted 122DE7EA8BF5 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,srio_phy,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE srio_phy_v4 xilinxd 1.0 permanent uncounted 22DB2708DFFD \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE srio_phy_v3 xilinxd 1.0 permanent uncounted C5D3D543AF3D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE srio_phy_v5 xilinxd 1.0 permanent uncounted 6B55E1318301 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT tcc_encoder_3gpp2 xilinxd 2010.06 permanent uncounted \
        E8C46AE04B25 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_encoder_3gpp2,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_encoder_3gpp2_v2 xilinxd 1.0 permanent uncounted \
        574295236319 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT tcc_encoder xilinxd 2010.06 permanent uncounted \
        966D0AF7B759 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_encoder,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_encoder_v1_0 xilinxd 1.0 permanent uncounted B832309AD014 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE tcc_encoder_v2 xilinxd 1.0 permanent uncounted 38F08A0581DC \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT eth_avb_endpoint xilinxd 2010.06 permanent uncounted \
        9FA281CEBA85 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,eth_avb_endpoint,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE eth_avb_endpoint_v1 xilinxd 1.0 permanent uncounted \
        867893872B0A VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT viterbi xilinxd 2010.06 permanent uncounted 3F385CDE86BE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,viterbi,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE viterbi_v6 xilinxd 1.0 permanent uncounted EE60A14BB645 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE viterbi_v3_0 xilinxd 1.0 permanent uncounted BCE185428487 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE viterbi_v7 xilinxd 1.0 permanent uncounted B658D6468E2C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE viterbi_v5 xilinxd 1.0 permanent uncounted E7B11C5CFA9A \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE viterbi_v4_0 xilinxd 1.0 permanent uncounted E2BC7AD9BE62 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT tcc_decoder xilinxd 2010.06 permanent uncounted \
        94D74A943717 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_decoder,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_decoder_v1_0 xilinxd 1.0 permanent uncounted 82CBA2275FD9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE tcc_decoder_v2 xilinxd 1.0 permanent uncounted 73AC98EC344B \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v_rgb2ycrcb xilinxd 2010.06 permanent uncounted \
        58B94162EE4D \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v_rgb2ycrcb,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v_rgb2ycrcb_v2 xilinxd 1.0 permanent uncounted E6720E90E927 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT xps_usb2_device xilinxd 2010.06 permanent uncounted \
        C32ECCD26D5E \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xps_usb2_device,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_usb2_device_v1 xilinxd 1.0 permanent uncounted \
        EC69F58ACED7 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE xps_usb2_device_v2 xilinxd 1.0 permanent uncounted \
        00781211FABE VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT opb_usb2_device xilinxd 2010.06 permanent uncounted \
        6D64E5971FB7 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_usb2_device,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_usb2_device_v1 xilinxd 1.0 permanent uncounted \
        1DF4C5C7AA2E VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT usb2_device xilinxd 2010.06 permanent uncounted \
        5510FF26F856 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,usb2_device,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE usb2_device_v1 xilinxd 1.0 permanent uncounted 8682E21CE5CC \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT rio_log_io xilinxd 2010.06 permanent uncounted 981482D76456 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,rio_log_io,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE rio_log_io_v5 xilinxd 1.0 permanent uncounted CF3F14DE5CF9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rio_log_io_v3 xilinxd 1.0 permanent uncounted AEAE0E6C526D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rio_log_io_v4 xilinxd 1.0 permanent uncounted 43ABBDD90549 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT v4_emac xilinxd 2010.06 permanent uncounted 14D565940A5F \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,v4_emac,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE v4_emac_v4 xilinxd 1.0 permanent uncounted E00A1E557D6E \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT xps_ll_temac xilinxd 2010.06 permanent uncounted \
        0CB6E8C7E7DD \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xps_ll_temac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_ll_temac_v2 xilinxd 1.0 permanent uncounted ABFA9A8840F0 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE xps_ll_temac_v1 xilinxd 1.0 permanent uncounted F23DB22C399D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT tri_mode_eth_mac xilinxd 2010.06 permanent uncounted \
        88DF1E91D5EE \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tri_mode_eth_mac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tri_mode_eth_mac_v3 xilinxd 1.0 permanent uncounted \
        C480DDAA046D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v1_1 xilinxd 1.0 permanent uncounted \
        C578E56C1E66 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v4 xilinxd 1.0 permanent uncounted \
        0A5693D31C61 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tri_mode_eth_mac_v2 xilinxd 1.0 permanent uncounted \
        E72507C1C835 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT soft_temac_wrap xilinxd 2010.06 permanent uncounted \
        116E4293F63D \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,soft_temac_wrap,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE soft_temac_wrap_v2 xilinxd 1.0 permanent uncounted \
        E633CE2FFDEC VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT plb_gemac xilinxd 2010.06 permanent uncounted 9188C989B883 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,plb_gemac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE plb_gemac_v1_00_a xilinxd 1.0 permanent uncounted \
        D2E8E75C167A VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE plb_gemac_v1_00_b xilinxd 1.0 permanent uncounted \
        82AA14636ACD VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE plb_gemac_v1_01_a xilinxd 1.0 permanent uncounted \
        FD4C2A81376B VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE plb_gemac_v2 xilinxd 1.0 permanent uncounted CE3EB9C3287F \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT gig_eth_mac xilinxd 2010.06 permanent uncounted \
        59769AF4E70B \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,gig_eth_mac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE gig_eth_mac_v3_0 xilinxd 1.0 permanent uncounted 1200B9740BA4 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gig_eth_mac_v4_0 xilinxd 1.0 permanent uncounted 0178943A488F \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gig_eth_mac_v5_0 xilinxd 1.0 permanent uncounted 9A74FBDC3DE6 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gig_eth_mac_v6 xilinxd 1.0 permanent uncounted CAF4F50362D8 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gig_eth_mac_v7 xilinxd 1.0 permanent uncounted 8AACD8D90119 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gig_eth_mac_v8 xilinxd 1.0 permanent uncounted A3AA47FB4422 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT fibre_channel xilinxd 2010.06 permanent uncounted \
        0BC0847E9203 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,fibre_channel,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE fibre_channel_v3 xilinxd 1.0 permanent uncounted 54D459637C1A \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE fibre_channel_v1_0 xilinxd 1.0 permanent uncounted \
        8755D037EA79 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE fibre_channel_v2 xilinxd 1.0 permanent uncounted 74FA512F4E51 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT flexray xilinxd 2010.06 permanent uncounted 1FDB88174712 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,flexray,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE flexray_v1 xilinxd 1.0 permanent uncounted B52A3CE86B39 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT xps_flexray xilinxd 2010.06 permanent uncounted \
        775D959A10B5 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xps_flexray,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_flexray_v1 xilinxd 1.0 permanent uncounted BCA176A5C9B9 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT apu_fpu_sp xilinxd 2010.06 permanent uncounted 2599EFCCDA0F \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,apu_fpu_sp,ip,permanent \
        HOSTID=ANY START=27-Apr-2009 TS_OK
FEATURE apu_fpu_v2 xilinxd 1.0 permanent uncounted 08A631D95E31 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE apu_fpu_virtex5_v1 xilinxd 1.0 permanent uncounted \
        00593A32F526 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT tcc_encoder_3gpp xilinxd 2010.06 permanent uncounted \
        B9FBFE8183CA \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,tcc_encoder_3gpp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE tcc_encoder_3gpp_v1 xilinxd 1.0 permanent uncounted \
        B2934CC6F6A3 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_encoder_3gpp_v3 xilinxd 1.0 permanent uncounted \
        B29408980A57 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE tcc_encoder_3gpp_v2 xilinxd 1.0 permanent uncounted \
        9D6F1681EA7B VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT ldpc_802_16_enc xilinxd 2010.06 permanent uncounted \
        AC95C3F4C3E1 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,ldpc_802_16_enc,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE ldpc_802_16_enc_v1 xilinxd 1.0 permanent uncounted \
        31E476B8D59A VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT ten_gig_eth_mac xilinxd 2010.06 permanent uncounted \
        6AC1825F581C \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,ten_gig_eth_mac,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE ten_gig_eth_mac_v3_0 xilinxd 1.0 permanent uncounted \
        E34BED57A61F VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ten_gig_eth_mac_v6 xilinxd 1.0 permanent uncounted \
        DAA58FD67E3D VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ten_gig_eth_mac_v7 xilinxd 1.0 permanent uncounted \
        8F7EC8C18610 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ten_gig_eth_mac_v9 xilinxd 1.0 permanent uncounted \
        480186E70A7E VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ten_gig_eth_mac_v8 xilinxd 1.0 permanent uncounted \
        1C7B6DEC7ADB VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ten_gig_eth_mac_v4_0 xilinxd 1.0 permanent uncounted \
        9D516EFAD0F6 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ten_gig_eth_mac_v5_0 xilinxd 1.0 permanent uncounted \
        45A82F119251 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT ethernet_statistics xilinxd 2010.06 permanent uncounted \
        CF64B6843193 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,ethernet_statistics,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc"
FEATURE ethernet_statistics_v3 xilinxd 1.0 permanent uncounted \
        35E53E521DCB VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ethernet_statistics_v1 xilinxd 1.0 permanent uncounted \
        489D40928F55 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE ethernet_statistics_v2 xilinxd 1.0 permanent uncounted \
        AFB38F2C06C2 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT gfp xilinxd 2010.06 permanent uncounted 616F1E447D03 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,gfp,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc"
FEATURE gfp_v2 xilinxd 1.0 permanent uncounted 7E9CBBC13FDC \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gfp_v1_3 xilinxd 1.0 permanent uncounted 29B6558A40B7 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gfp_v1_2 xilinxd 1.0 permanent uncounted AA75F12E024C \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE gfp_v1_1 xilinxd 1.0 permanent uncounted E457C59E483D \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT rs_encoder xilinxd 2010.06 permanent uncounted 2DDFEE35C873 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,rs_encoder,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE rs_encoder_v5_0 xilinxd 1.0 permanent uncounted E6B5D2A96AA2 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rs_encoder_v4_0 xilinxd 1.0 permanent uncounted 871229CCA910 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rs_encoder_v6 xilinxd 1.0 permanent uncounted 7DC2DC8558F1 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
FEATURE rs_encoder_v4_1 xilinxd 1.0 permanent uncounted 827049DC4A75 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT vlynq xilinxd 2010.06 permanent uncounted 112AAA360816 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,vlynq,ip,evaluation \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE vlynq_v1 xilinxd 1.0 permanent uncounted 10BF20289465 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx
INCREMENT opb_ethernetlite xilinxd 2010.06 permanent uncounted \
        B6683E0790D3 \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,opb_ethernetlite,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE opb_ethernetlite_v1 xilinxd 1.0 permanent uncounted \
        15A3B262FC1A VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE opb_ethernetlite_v1_00_a xilinxd 1.0 permanent uncounted \
        8B7D5940D2C8 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
INCREMENT xps_ethernetlite xilinxd 2010.06 permanent uncounted \
        ACF231D90DDC \
        VENDOR_STRING=License_Type:Bought;some.bastard@(敏感词0386).you,xps_ethernetlite,ip,permanent \
        HOSTID=ANY ISSUER="Xilinx Inc" START=27-Apr-2009 TS_OK
FEATURE xps_ethernetlite_v1 xilinxd 1.0 permanent uncounted \
        5B450C8334E1 VENDOR_STRING=License_Type:Bought HOSTID=ANY \
        ISSUER=Xilinx
FEATURE rs_encoder_v5_0 xilinxd 1.0 permanent uncounted E6B5D2A96AA2 \
        VENDOR_STRING=License_Type:Bought HOSTID=ANY ISSUER=Xilinx

出0入0汤圆

发表于 2010-3-18 11:49:34 | 显示全部楼层
敏感词0386被过滤了,下面是lic文件。

点击此处下载 ourdev_538994.rar(文件大小:5K) (原文件名:XilinX_ISE11.rar)

出0入0汤圆

 楼主| 发表于 2010-3-18 13:49:08 | 显示全部楼层
好的,谢谢!

出0入0汤圆

发表于 2010-3-18 16:15:41 | 显示全部楼层
这个License不错,比好利顺生成的强,感谢4楼!

出0入0汤圆

发表于 2010-4-2 09:46:14 | 显示全部楼层
是不是上面的license里的2010.04这些时间要改改呢?

出0入0汤圆

发表于 2010-4-2 09:56:48 | 显示全部楼层
回复【7楼】fenglouto  
-----------------------------------------------------------------------
好像用上面的还是提示license有问题

(原文件名:2010-4-2 9-52-42.gif)

出0入0汤圆

发表于 2010-4-2 16:31:44 | 显示全部楼层
这个lic貌似还是这个月就要到期了!

出0入0汤圆

发表于 2010-4-2 16:57:04 | 显示全部楼层
回复【9楼】bone  
-----------------------------------------------------------------------

是啊,不知道修改时间能不能用。
网上流传的好顺利的crack也都是2010.04到期。

出0入0汤圆

发表于 2010-4-2 17:02:12 | 显示全部楼层
怎么办?

出0入0汤圆

发表于 2010-4-2 17:09:54 | 显示全部楼层
不知道是不是安装的过程有问题?
一般用11.1的人都是用s6和v6,这两个器件要升级到11.3才能用。
我再试下,升级完后再指定license看可以不

我20G的硬盘空间都不够安装11.3,真是晕菜,装后老是丢文件,折腾了好几次

楼上的兽哥,我预定两个下载线,过几天去你学校拿:)

出0入0汤圆

发表于 2010-4-2 17:10:40 | 显示全部楼层
哪位xd在好顺利买过板子或是芯片啥的,要个crack行不?

出0入0汤圆

发表于 2010-4-2 17:15:12 | 显示全部楼层
好顺利已经和xilinx闹崩了

出0入0汤圆

发表于 2010-4-21 21:10:42 | 显示全部楼层
安富利有没有啊

出0入0汤圆

发表于 2010-4-26 20:14:33 | 显示全部楼层
我的安装过程中怎么没提示license?

出0入0汤圆

发表于 2010-6-8 17:54:15 | 显示全部楼层
回复【3楼】zlei
-----------------------------------------------------------------------

大虾,请教一个问题:
   我用tri_mode_eth_mac 的IP,编译提示还需要10_100_mb_eth_mac,请问大虾有Bought 版的10_100_mb_eth_mac之LIC 吗?
可否提供,谢谢!
我的邮箱:3genet@163.com

出0入0汤圆

发表于 2011-6-14 13:29:50 | 显示全部楼层

(原文件名:test.jpg)


(原文件名:testt.jpg)



我用的ISE11.1按照他们给的步骤破_解了之后也是这个样子,license copy成功了之后,new和open依然没有效果,看起来估计像是和没有安装license一样的。请大侠帮帮忙!!

出0入0汤圆

发表于 2011-6-15 13:58:14 | 显示全部楼层
我的问题解决了,ISE 的路径里不能含有中文,楼主看看,能不能解决问题。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:33

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表