搜索
bottom↓
回复: 5

不懂就问。。!一个Verilog的流水灯程序,请大家指正。。。

[复制链接]

出0入0汤圆

发表于 2010-3-3 22:08:32 | 显示全部楼层 |阅读模式
我是一个fpga的小小小菜鸟,刚开始学习,写了一个流水灯的程序,可以运行如下:


(原文件名:1.jpg)


但是看了艾米的例程,如下:
module ledwater(clk,led);
output [7:0] led;
input clk;
reg[8:0] led_out;
reg[8:0] led_out1;
reg[25:0]buffer;
always@(posedge clk)
begin
     buffer<=buffer+1'b1;
     if (buffer==26'd25000000)
          begin
          led_out=led_out<<1;
          if(led_out==9'b000000000)
          led_out=9'b111111111;
          led_out1=~led_out;
         end
end
assign led=led_out1[7:0];
endmodule


有好多地方的编程风格都不一样。。。。我知道从入门起养成一个良好的编程风格是很重要的,所以请大家尽可能的指正我。。。不要留面子,谢谢。。。。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2010-3-3 22:12:59 | 显示全部楼层
比如说输出为什么要用连续赋值语句,而不能直接用寄存器输出?

出0入0汤圆

 楼主| 发表于 2010-3-3 22:43:14 | 显示全部楼层
丢人了。。。呵呵,我把跑马灯和流水灯搞混了。。。

出0入0汤圆

发表于 2010-3-4 16:23:27 | 显示全部楼层
回复【2楼】cnqdxiaoyu 鸿宇
-----------------------------------------------------------------------

时间上的统一性啊!

出0入0汤圆

发表于 2010-3-4 22:19:03 | 显示全部楼层
第10行的led赋初值的想法,应该是从编程语言,比如C语言那边来的习惯吧。在Verilog里,这样的语句只能用于仿真,在综合的时候,这句会被无视,如果想赋初值,还是用一个异步复位的rst_n信号来做比较好,比如:
always @ (posedge clk, negedge rst_n)
if(rst_n == 1'b0)
  led = 8'b0000_0001;
else
begin
...
end

出0入0汤圆

 楼主| 发表于 2010-3-5 15:52:40 | 显示全部楼层
谢谢楼上达人,学习了~!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 19:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表