|
第一次写代码不知道对不对 望高手指点
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity s is
port(
d : in std_logic;
ena : in std_logic;
q :out std_logic
);
end s;
architecture one of s is
signal sig_save : std_logic;
begin
process (d,ena)
begin
if ena = '1' then
sig_save <= d;
q <=d;
end if;
q <=sig_save;
end process;
end architecture one;
仿真的波形
![](http://cache.amobbs.com/bbs_upload782111/files_27/ourdev_535754.jpg)
(原文件名:1.jpg)
当ena 为1 d和q直通
当ena 为0 q 输出d的值 |
阿莫论坛20周年了!感谢大家的支持与爱护!!
知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)
|