搜索
bottom↓
回复: 143

CPLD/FPGA常用模块与综合系统设计实例精讲(高清版) 452页 65.7M

[复制链接]

出0入0汤圆

发表于 2010-2-12 13:51:00 | 显示全部楼层 |阅读模式
最近在搞FPGA方面毕业设计,搜索到这个资料,应该还是不错的。
点击此处下载 ourdev_533649.pdf(文件大小:64.17M) (原文件名:CPLD/FPGA常用模块与综合系统设计实例精讲 452页 65.7M.pdf)

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-2-12 14:08:17 | 显示全部楼层

出0入0汤圆

发表于 2010-2-12 16:28:14 | 显示全部楼层

先谢谢楼主了

出0入0汤圆

发表于 2010-2-12 18:04:58 | 显示全部楼层
3Q
怎么下不了?

出0入0汤圆

发表于 2010-2-13 11:42:04 | 显示全部楼层
xiexie

出0入0汤圆

发表于 2010-2-13 11:49:35 | 显示全部楼层
谢谢楼主了

出0入0汤圆

发表于 2010-2-13 13:49:27 | 显示全部楼层
有配套光盘么?

出0入0汤圆

 楼主| 发表于 2010-2-13 15:39:49 | 显示全部楼层
光盘暂时还没找到,如果哪位朋友有可以上传,谢谢

出0入0汤圆

发表于 2010-2-13 16:13:50 | 显示全部楼层
图书馆有,可惜今天开始关门了,等过年后开门了借回来

出0入0汤圆

发表于 2010-2-13 18:38:07 | 显示全部楼层
mark!

出0入0汤圆

发表于 2010-2-18 19:55:05 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-2-18 20:57:27 | 显示全部楼层
很好,欢迎有志芯片设计的高人来君正工作,呵呵待遇绝对有竞争力

出0入0汤圆

 楼主| 发表于 2010-2-23 19:27:32 | 显示全部楼层
回复【11楼】ingenic FAE
-----------------------------------------------------------------------

本科应届生要吗?有什么条件?

出0入0汤圆

发表于 2010-2-23 19:36:48 | 显示全部楼层
按个爪印

出0入0汤圆

发表于 2010-2-23 19:59:46 | 显示全部楼层
谢谢楼主

出0入0汤圆

发表于 2010-2-23 20:34:30 | 显示全部楼层
不错~~
头像被屏蔽

出0入0汤圆

发表于 2010-2-23 22:45:25 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽

出0入0汤圆

发表于 2010-2-23 23:56:35 | 显示全部楼层
谢谢楼主,太有用了

出0入0汤圆

发表于 2010-2-24 01:47:22 | 显示全部楼层
有个疑问。都是验证过的吗?

出0入0汤圆

发表于 2010-2-24 08:02:47 | 显示全部楼层

出0入0汤圆

发表于 2010-2-24 10:50:41 | 显示全部楼层
仿佛是VHDL的啊···悲剧了啊· ··

出0入0汤圆

发表于 2010-2-27 13:04:35 | 显示全部楼层
这本书的配套光盘文件
点击此处下载 ourdev_535553.rar(文件大小:97.66M) (原文件名:CPLD-FPGA常用模块与综合系统设计实例精讲.rar)

出0入0汤圆

发表于 2010-2-28 19:57:51 | 显示全部楼层
非常感谢。

出0入0汤圆

发表于 2010-3-1 05:11:37 | 显示全部楼层
标记一下
非常感谢

出0入0汤圆

发表于 2010-3-1 07:59:21 | 显示全部楼层
好东西 

出0入0汤圆

发表于 2010-3-5 13:36:40 | 显示全部楼层
顶,谢谢楼主的分享!

出0入0汤圆

发表于 2010-3-10 11:13:34 | 显示全部楼层
有光盘么 我要光盘!

出0入0汤圆

发表于 2010-3-10 11:39:35 | 显示全部楼层
谢谢楼主O(∩_∩)O

出0入0汤圆

发表于 2010-3-11 10:34:34 | 显示全部楼层
能否来个目录

出0入0汤圆

发表于 2010-3-11 11:00:04 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-3-18 15:34:49 | 显示全部楼层
谢谢分享啊

出0入0汤圆

发表于 2010-3-18 16:15:35 | 显示全部楼层
点击此处打开 ourdev_539116.jpg(文件大小:3.28M,只有400K以内的图片才能直接显示) (原文件名:comment.jpg)

出0入0汤圆

发表于 2010-3-18 16:16:49 | 显示全部楼层
回复【31楼】jeremygg
-----------------------------------------------------------------------

这是楼主书籍的目录,里边例子用的是VHDL,

其实也有转换工具,参看这个帖子

http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=3912939&bbs_id=1029

出0入0汤圆

发表于 2010-4-8 19:44:54 | 显示全部楼层
回复【楼主位】zhupingheng
-----------------------------------------------------------------------

MARK

出0入0汤圆

发表于 2010-4-8 19:47:51 | 显示全部楼层
记号

出0入0汤圆

发表于 2010-4-9 13:55:43 | 显示全部楼层
是VHDL编写的有没有VerilogHDL编写的没?

出0入0汤圆

发表于 2010-4-9 22:23:46 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-4-9 22:47:44 | 显示全部楼层
mark!

出0入0汤圆

发表于 2010-4-10 18:20:02 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-4-10 19:43:24 | 显示全部楼层
mark!

出0入0汤圆

发表于 2010-4-13 18:16:05 | 显示全部楼层
回复【楼主位】zhupingheng
-----------------------------------------------------------------------
谢谢楼主分享

出0入0汤圆

发表于 2010-4-13 18:20:09 | 显示全部楼层
谢谢LZ分享!!!刚刚学FPGA

出0入0汤圆

发表于 2010-4-13 21:11:05 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-4-14 15:36:28 | 显示全部楼层
感谢分享,神坛

出0入0汤圆

发表于 2010-4-16 11:14:55 | 显示全部楼层
谢谢 楼主

出0入0汤圆

发表于 2010-4-16 17:32:37 | 显示全部楼层
谢谢

出0入0汤圆

发表于 2010-4-16 17:52:03 | 显示全部楼层
回复【楼主位】zhupingheng
-----------------------------------------------------------------------

ddd

出0入0汤圆

发表于 2010-4-16 21:08:30 | 显示全部楼层
mark!

出0入0汤圆

发表于 2010-4-19 16:03:23 | 显示全部楼层
下载了,感觉不错。

出0入0汤圆

发表于 2010-4-19 17:56:52 | 显示全部楼层

出0入0汤圆

发表于 2010-4-19 18:34:26 | 显示全部楼层
谢谢!

出0入0汤圆

发表于 2010-4-19 18:45:31 | 显示全部楼层

出0入0汤圆

发表于 2010-4-19 19:47:45 | 显示全部楼层
谢谢分享

出0入0汤圆

发表于 2010-4-19 22:10:19 | 显示全部楼层
好东西,备用!

出0入0汤圆

发表于 2010-4-19 22:14:18 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-4-20 14:47:13 | 显示全部楼层
学习

出0入0汤圆

发表于 2010-10-16 22:22:26 | 显示全部楼层
学习一下啊 !!!

出0入0汤圆

发表于 2010-10-16 22:27:07 | 显示全部楼层
谢谢楼主了!

出0入0汤圆

发表于 2010-10-17 09:51:13 | 显示全部楼层
备用

出0入0汤圆

发表于 2010-10-17 10:17:57 | 显示全部楼层
顶一下

出0入0汤圆

发表于 2010-10-17 10:34:09 | 显示全部楼层
t备用

出0入0汤圆

发表于 2010-10-17 14:00:38 | 显示全部楼层

出0入0汤圆

发表于 2010-10-17 20:46:59 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-17 21:55:54 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-19 20:29:33 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-19 21:41:44 | 显示全部楼层
up

出0入0汤圆

发表于 2010-10-19 23:37:17 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-19 23:49:42 | 显示全部楼层
先mark下

出0入0汤圆

发表于 2010-10-20 12:38:24 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-23 23:07:34 | 显示全部楼层
感谢了,正需要

出0入0汤圆

发表于 2010-10-31 10:13:01 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-31 16:04:08 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-17 13:01:14 | 显示全部楼层
记录下

出0入0汤圆

发表于 2010-12-22 14:27:00 | 显示全部楼层
感谢了,学习一下啊 !!!

出0入0汤圆

发表于 2010-12-22 14:29:12 | 显示全部楼层
拒绝VHDL,顶Verilog

出0入0汤圆

发表于 2010-12-22 14:34:44 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-22 15:35:00 | 显示全部楼层
谢谢!

出0入0汤圆

发表于 2010-12-22 16:43:51 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-22 17:46:05 | 显示全部楼层
谢谢!!

出0入0汤圆

发表于 2010-12-22 17:59:30 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-22 19:30:26 | 显示全部楼层
正在当---

出0入0汤圆

发表于 2010-12-23 08:41:22 | 显示全部楼层
mark

出0入24汤圆

发表于 2010-12-23 08:48:29 | 显示全部楼层
Mark!
感谢楼主分享!

出0入0汤圆

发表于 2010-12-23 14:01:48 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-24 09:20:37 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-24 09:59:57 | 显示全部楼层
收下了!!

出50入0汤圆

发表于 2010-12-24 11:30:19 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-25 19:16:41 | 显示全部楼层
回复【21楼】gan1211 晨曦之剑
-----------------------------------------------------------------------

好好看喽

出0入0汤圆

发表于 2010-12-25 21:03:33 | 显示全部楼层
感谢分享!边顶边下,谢谢了。

出0入25汤圆

发表于 2010-12-25 21:52:22 | 显示全部楼层
感谢分享

出0入0汤圆

发表于 2010-12-26 16:35:54 | 显示全部楼层
谢谢楼主了

出0入0汤圆

发表于 2010-12-26 20:53:41 | 显示全部楼层
Mark~

出0入0汤圆

发表于 2010-12-27 09:04:46 | 显示全部楼层
感谢了,学习一下啊 !!!

出0入0汤圆

发表于 2010-12-29 16:13:17 | 显示全部楼层
有这本书,还是顶下。

出0入0汤圆

发表于 2010-12-29 19:13:00 | 显示全部楼层
看起来是扫描版啊

出0入0汤圆

发表于 2010-12-29 21:06:42 | 显示全部楼层
谢谢楼主的分享

出0入0汤圆

发表于 2011-1-11 12:48:17 | 显示全部楼层
谢楼主了

出0入0汤圆

发表于 2011-1-11 12:51:40 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-5-14 17:56:11 | 显示全部楼层
谢谢了

出0入0汤圆

发表于 2011-8-5 01:13:29 | 显示全部楼层
顶一个,谢谢
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:31

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表