搜索
bottom↓
楼主: multijtager

multijtager(基于FPGA的多功能JTAG调试/下载接口)开源项目倡议与讨论

[复制链接]

出0入0汤圆

发表于 2010-6-17 20:22:06 | 显示全部楼层
孔径0.2机械孔恐怕要加不少钱了。

出0入0汤圆

发表于 2010-6-17 20:29:56 | 显示全部楼层
回复【891楼】dr2001
-----------------------------------------------------------------------

真要做的话,只要比6层便宜就行了
成孔孔径0.2mm的话,钻孔孔径应该在0.3mm左右,这个尺寸其实问题并不大

出0入0汤圆

发表于 2010-6-20 11:54:24 | 显示全部楼层

出0入0汤圆

发表于 2010-6-20 17:56:57 | 显示全部楼层
问一个问题
关于FPGA的PUDC_B引脚
我们要不要把它独立出来?
目前我是直接当普通IO用了,而且是连到了SDRAM的DATA线上

另外,M0-M2脚怎么处理?我们IO不够没法把它独立出来,用上下拉电阻?

出0入0汤圆

发表于 2010-6-20 19:00:48 | 显示全部楼层
还有,minux,VREF调整电路有个大致规划没?

出0入0汤圆

发表于 2010-6-23 20:28:15 | 显示全部楼层
仔细阅读关于HDMI的资料,有一些问题
一个是机械尺寸,Type-A插座高度超过我们能容忍的范围约0.68mm,虽然正好在MCU板SD槽的下面,空间较大,目前不会发生干涉,但不能保证未来的系统不会发生干涉
而Type-D插座虽然尺寸够小,但焊接非常困难,难度接近BGA了(双列0.4mm-pitch)

另外,电器标准也有问题,HDMI的电气标准是TMDS,回流路径是经过地线的,而LVDS是不经过地线的
HDMI地线在差分对两条线之间,感觉略有不爽


minux不知最近是不是很忙,我们最好能抽出一天时间把剩下的问题讨论清楚,不然总是迟迟出不了板

出0入0汤圆

发表于 2010-6-24 09:11:15 | 显示全部楼层
回复【896楼】h2feo4  无机酸
---------------------------------------------------------------------

根据Wiki以及AMP/Tyco的资料:
HDMI Spec 1.3规定的,HDMI TypeA安装高度是6.3mm;HDMI Mini TypeC安装高度是3.45mm。
TypeC应该能满足高度要求。

现在好找的应该是TypeA的标准HDMI,TypeC的HDMI Mini;都是1.3标准规定的。TypeD恐怕不一定好找。
Mini HDMI是0.4mm,单排的封装。应该能满足一般的焊接要求。

不是定制的接口,有的可以凑合用已经不错了。。。主要是阻抗什么的能匹配。

附件是TypeC机械尺寸图,供参考。
HDMI_Mini_TypeCourdev_563524E9QNH9.pdf(文件大小:379K) (原文件名:HDMI_Mini_TypeC.pdf)

出0入0汤圆

发表于 2010-6-24 12:45:10 | 显示全部楼层
回复【897楼】dr2001
-----------------------------------------------------------------------

OK 就Type-C好了

出0入0汤圆

发表于 2010-6-26 17:43:13 | 显示全部楼层

出0入0汤圆

发表于 2010-6-27 16:00:14 | 显示全部楼层
那小孩估计在准备答辩。。。然后毕业。。。找工作。。。结婚。。。生子。。。

出0入0汤圆

发表于 2010-6-27 16:53:02 | 显示全部楼层
回复【902楼】taoist
-----------------------------------------------------------------------

minux 说他无法登录论坛,所以我们暂时电话联系了
目前状态,FPGA板绘制中
因为我最近几天在搬家,搞得很乱,抱歉没有贴图出来汇报
预计7月中旬投板

出95入100汤圆

发表于 2010-6-27 22:05:48 | 显示全部楼层
看看能不能帮上什么忙

出0入0汤圆

发表于 2010-7-8 08:58:57 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-7-14 09:33:02 | 显示全部楼层
昨晚,我与minux、dr2001等5名网友进行了一场讨论会
确定Multijtager剩余问题

会议纪要
接插件的防呆问题,讨论了,但没有结果
Vref电压跟随问题,解决,由minux负责
总线是否穿板问题,解决,决定不穿板
Via on Pad 问题,解决,决定除BGA焊盘外允许
内核电源引出问题,解决,保持方案不变,增加一个测试点可用于飞线
封装选型验证问题,接插件解决,IC待解决
接插件定位销问题,解决,用适当的焊接技巧弥补
焊盘热管理问题,未讨论
板上标识和版权声明,未讨论

出0入0汤圆

发表于 2010-7-16 11:11:17 | 显示全部楼层
支持 支持,我也想加入

出0入0汤圆

发表于 2010-7-16 15:14:30 | 显示全部楼层
我也想加入,设计过两款JTAG,都是用单片机做的,一个用C8051F320,一个用自己公司的芯片

出0入0汤圆

发表于 2010-7-16 18:28:48 | 显示全部楼层
回复【907楼】menglongfc & 【908楼】 didi
-----------------------------------------------------------------------

欢迎加入

今日进度,采购元件
目前关键零件中还差
NCP1529
74LVC1T45

出0入0汤圆

发表于 2010-7-18 15:17:08 | 显示全部楼层
快了啊,什么时候可以焊接?

出0入0汤圆

发表于 2010-7-18 16:25:51 | 显示全部楼层
近两天在讨论做板
两块大四层板可能成本偏高
正在研究压缩成本的方案
可能将是一块双面板,一块四层板

出0入0汤圆

发表于 2010-7-20 15:48:38 | 显示全部楼层
MARK,关注

出0入0汤圆

发表于 2010-7-21 10:39:58 | 显示全部楼层
很关注~表示十分支持~~O(∩_∩)O~

出0入0汤圆

发表于 2010-7-21 11:03:02 | 显示全部楼层
关注, 期待

出0入0汤圆

发表于 2010-8-3 21:44:00 | 显示全部楼层
怎么沉底了....顶起来

出0入0汤圆

发表于 2010-8-5 09:54:51 | 显示全部楼层
回复【915楼】taoist
-----------------------------------------------------------------------

昨天给minux打了个电话确认了下进度

IO部分电路minux还在验证中

另外,NCP1529和74LVC1T45还没有采购到

还有,为了降低成本,决定将MCU板压缩至双面

出0入0汤圆

发表于 2010-8-5 19:56:47 | 显示全部楼层
NCP1529不好买的话可以用LTC3406B或SP6659替换。

出0入0汤圆

发表于 2010-8-6 20:50:45 | 显示全部楼层
寻找失踪人口minux...

出0入0汤圆

发表于 2010-8-6 21:20:03 | 显示全部楼层
回复【918楼】taoist
-----------------------------------------------------------------------

上次见minux他说一直无法登录论坛
所以近一段时间一直都是电话+邮件联系

出0入0汤圆

发表于 2010-8-7 02:58:20 | 显示全部楼层
74LVC1T45好像有一些,不多,以前用过。

出0入0汤圆

发表于 2010-8-11 10:37:26 | 显示全部楼层
持续关注ing,虽然对这个硬件完全没有感觉吧,不过该顶还是要顶。
期待无机酸~们,继续。
加油。

出0入0汤圆

发表于 2010-8-11 16:38:51 | 显示全部楼层
继续关注。

出0入0汤圆

发表于 2010-8-24 09:24:45 | 显示全部楼层
强烈支持!

出0入0汤圆

发表于 2010-8-26 09:17:22 | 显示全部楼层
想加入,h2feo4 无机酸请问有什么我能帮的上的?~

出0入0汤圆

发表于 2010-8-26 15:52:23 | 显示全部楼层
今天采购到了关键部件74LVC1T45
另外联系了minux,他设计工作目前还没进展,预计下月初重新启动

出0入0汤圆

发表于 2010-8-26 15:56:27 | 显示全部楼层
回复【924楼】chewy
-----------------------------------------------------------------------

欢迎加入,目前在等待minux验证IO部分电路

出0入0汤圆

发表于 2010-8-27 22:37:13 | 显示全部楼层
回复【926楼】h2feo4  无机酸
回复【924楼】chewy
-----------------------------------------------------------------------
欢迎加入,目前在等待minux验证io部分电路
-----------------------------------------------------------------------

嗯 我的邮箱是 su.eagle.li@gmail.com
有啥可以帮的上忙的我一定尽力
ps:9月底开始找工作了 手上的项目就能暂时不那么高强度的整,反而能给我很多自己的时间
想把想玩的东东再整整 呵呵

出0入0汤圆

发表于 2010-8-28 12:40:23 | 显示全部楼层
无意中发现一个比较cool的东东
http://www.olimex.com/dev/msp-jtag-rf.html
想法是在USB出来的部分 加入无线模块
这样想的好处有两个
1、共地问题,事实上板子、电脑还有调试的时候加的外接电源都是不同的供电系统,如果插线板以及房间里的交流接地设计不好或者在调试的时候没有注意几个模块间共地的连接,可能会有很多莫名其妙的问题,更有甚者烧片子
2、连接方便,这个就不用说了
坏处也不少,速度上不去这类的
供大家参考一下~

出0入0汤圆

发表于 2010-8-28 21:31:09 | 显示全部楼层
我回来了,前段时间一直都没办法上来……

目前MCU板的器件除了DC-DC和板板接插件没有采购齐外,其余的都搞定了。FPGA板的硬件也采购得差不多了。

目前我们的一个没想好的问题是板板间的接插件用什么?
(1) 我们原来希望用1.27mm或者2.00mm的排针,由于针数较多,为了好定位,希望能找到带有定位销的,
可是没找到现货……
(2) 用斑马条,然后板子之间用别的手段压紧,这上次无机酸想到的,我觉得很不错。不过暂时还没有
确定是否能买到可用的(由于内阻大,电源线肯定还得单独走,但是这样一来板子不对称了就可以防插反)

MCU板,目前我们准备缩减尺寸到7cm*5cm(原来是7cm*10cm),双层。
FPGA板,4层,继续原来的设想,但是我们还在等Spartan 6露面…… 实在不行可以上Cyclone 3,这个性价比
会更好些。

目前有源器件方面就是DC-DC有点问题:
我们已经选定的3.3V DC-DC没有买到,电流不会超过1A,这个大家有什么推荐?
输出电压可调的降压DC-DC,电流500mA左右即可,这个大家有什么常见的器件推荐?
要求是价格便宜,货源靠谱。

出0入0汤圆

发表于 2010-8-28 21:54:10 | 显示全部楼层
to 【929楼】 minux 啊啊?

你小子终于活过来了....

出0入0汤圆

发表于 2010-8-29 00:16:32 | 显示全部楼层
回复【929楼】minux  啊啊?
-----------------------------------------------------------------------

在某个板子上见到了一种方式:

模块板是 双排针,
载板上是 做的过孔。
插入后焊接。

不过焊上就没法调试了。。

另,发现 openjtag 最近单独弄了个站点,http://www.openjtag.org/

可惜暂时只会用JTAG,不懂。

想问下这种东西能适用于多种芯片么?

还有个 http://elinux.org/JTAG_Finder,这东西到底有用么?

这里有个Common OCD pinouts:
http://elinux.org/images/e/ea/JTAGpinouts.pdf
希望能有点用。

出0入0汤圆

发表于 2010-8-31 19:47:00 | 显示全部楼层
【931楼】 huayuliang 花生

谢谢提供的信息。

OpenJTAG用的是maxim的双向电平转换电路,这点被我们给否决了…… 不然我们也不会用这么贵
的1T45来做电平转换。。。。
不过那个项目的硬件成本真是比我们的低很多很多啊……

我们以后也可以考虑去掉自由定义JTAG插座pinout的功能,或者是仅保留重新编译后更改的能力,
做一个用Cy7c68013A+MAX II的版本(当然这个版本需要建立在我们有自己的软件实现,它肯定是
无法模拟某些用了68013的下载线的)。


to h2feo4:

我们当初为啥不选择板间连接器?比如我前面曾经贴出图片的那个(在412楼)?贴片而且是有定位
销的,价格也不太贵(就是pitch小多了,而且一条可能没有2*40或者2*50,一般也就2*20或者2*25吧)
我贴出那个的高度肯定不够,但是我最近看到了实物,高度足够的。
如果大家想看,我明天看看能不能去照一个照片贴上来。

出0入0汤圆

发表于 2010-9-1 10:40:33 | 显示全部楼层
今天taoist提到了一个很牛的产品:palmice3。

这玩意的手册可以见http://www.ourdev.cn/bbs/bbs_content.jsp?bbs_sn=4235713&bbs_id=1032,第64楼。
看数据手册,有几个重要的细节:
1,JTAG clock can be set freely within 1kHz - 40MHz,据说是可以逐Hz调整
2,电压范围1.0-5.5V (Automatically follows target)

这速度和电压范围要想实现估计也是每个管脚一个电压转换器了,1T45都做不到1.0V起步。

出0入0汤圆

发表于 2010-9-1 11:30:18 | 显示全部楼层
回复【934楼】minux 啊啊?
-----------------------------------------------------------------------

板对板连接器只要高度合适就可以,pitch太小的话可能寿命太短

我近几天可能不太方便上网,回复不一定及时

出0入0汤圆

发表于 2010-9-1 11:39:36 | 显示全部楼层
【936楼】 h2feo4 无机酸

那好 我今天去找个拍照传上来大家看看。

出0入0汤圆

发表于 2010-9-4 19:08:52 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-9-7 19:02:58 | 显示全部楼层
诸位,SAM3U的货源问题似乎应该斟酌下了……

今天给百特打电话,他们连他们申请的样片都没到……
看Mouser上,货期至少26周…… orz....

另,附上次我提到的板对板接插件图片,我明日去电子市场查看下。
我拍的这个手感不错,拔插很舒服,而且是有定位销的,我手机拍的不好,仅示意下。

(原文件名:socket.png)

出0入0汤圆

发表于 2010-9-7 20:18:00 | 显示全部楼层
看图好像是AMP的Free Height系列板对板连接器,0.8间距的,堆叠高度从5mm~16mm都有

出0入0汤圆

发表于 2010-9-7 20:36:51 | 显示全部楼层
【940楼】 yzhu

赞,那很不错。不过听说这个插接件比较贵。。。

出0入0汤圆

发表于 2010-9-7 21:09:28 | 显示全部楼层
回复【939楼】minux  啊啊?
-----------------------------------------------------------------------

SAM3U我查到的是这样:
- Mouser说,交期26周,在定2xx。
这件事情不知道是说,
A,已经有人定了200多片,等凑够数才向工厂定,然后等26周;
B,Mouser已经向工厂定了2xx片,订货时候说的交期是26周,但什么时候到货不清楚。
我倾向B解释。但是没人说。

- DigiKey,现在没有库存。
但是如果你说要买10个,试图查阅订单的话,会告诉你预计发货日期是2010-10-27。
如果说买1000个的话,那么270个交期是10/27;剩下的12/22。

基于此,可以谨慎怀疑:
Mouser,DigiKey在10月底可能会有一小批货,数量在270这样的Pack上,Mouser可能已经被人定走一些。
感觉可以持币观望。。。

如果换芯片的话,考虑STM32或者UC3B之类的?HS的没啥可以选择的了。
这个以前讨论过了,不知道还有啥方案。

出0入0汤圆

发表于 2010-9-7 21:20:40 | 显示全部楼层
【942楼】 dr2001

我也倾向于B解释。
digikey上也没有库存,唉……
S公司是怎么买的…… 某非是一口气屯了一堆么……

别的单片机方案很悬啊…… 高速USB我一直在关注,但是没啥新发现。MCU带有高速USB的真的是很少很少。
MPU倒是一堆,但是我们用那个的话,似乎有点过贵了。。。
或者我们直接用软核+外部高速USB接口?也不是很爽。。。。
Atmel的UC3货源好么?我对于Atmel的芯片都快没信心了…… 而且32 bit AVR这个可只有这一个厂家搞……

FPGA的Spartan 6也没有任何进展……

出0入0汤圆

发表于 2010-9-7 21:43:03 | 显示全部楼层
回复【943楼】minux  啊啊?
-----------------------------------------------------------------------

SAM3U的Digikey订货的信息看,应该还是利好的。不过Mouser的信息就比较不争气,有的3U型号交期预计11年2月去了。。。半年多。
S公司横不能用的ES的吧……正式芯片出来貌似没多久呢。莫非用的就是RevA那批?这个就有点扯了。

反正这几个片子都不是那么好找。。。好东西都不容易摆平啊。

UC3我看Mouser货源好像还行,邮购部好像3B还有不少?不过考虑ATmel的交期。。。

实在不行就只能USB FS + Xilinx AN FPGA先出来一个验证之用了。-_b

出0入0汤圆

发表于 2010-9-7 22:01:50 | 显示全部楼层
补充一个可能的选型:
AVR32 UC3A3系列,AT32UC3A3256(S)。

货源:
Mouser和DigiKey都有现货。
Mouser说货期4周,应该算是畅销产品?

简单看的摘要:
主频64MHz。
256K Flash,64KB内部RAM,还有64K非内核集成的RAM。
QFP144封装;有外部总线,有DMA RQ相关引脚。
USB HS OTG,8个EP,EP1-7都是双Bank Bulk可行。
UART支持SPI模式,直接过FPGA应该都可以的。


除了开发环境可能差点意思外,别的好像都不错的样子。
作为一个备选方案?

出0入0汤圆

发表于 2010-9-7 23:20:34 | 显示全部楼层
【945楼】 dr2001

3A3货源是个问题。。。。最开始有人给我们推荐3A3,但是不也是价格问题给否决的么……
(本贴145楼)


现在来看,国内的供货情况似乎没啥好转啊……

出0入0汤圆

发表于 2010-9-7 23:41:26 | 显示全部楼层
avnet 美国 已经可以买到S6了

XC6SLX16-2FT256C报价$26.07。但是问题是,亚洲区就是没有容量小于LX45的卖……

出0入0汤圆

发表于 2010-9-8 08:44:58 | 显示全部楼层
回复【946楼】minux  啊啊?
-----------------------------------------------------------------------

半年过去之后,3A3报价在15USD左右,这个价格貌似和3U4的报价相当了。关键是这东西好歹能有货。

当然,非ARM的问题就是开发环境差点意思。。。没办法。

不行两条腿走路?

出0入0汤圆

发表于 2010-9-8 14:42:54 | 显示全部楼层
【945楼】 dr2001

或许我们应该采纳taoist很早给的建议:
根本放弃USB,改用网口。

因为用USB,很难做到无驱动…… 网口可是肯定不用驱动的。

但是考虑到兼容现有下载线,或许还就用cy7c68013a?

唉,现在回想起来,这个项目之所以如此难设计,就是选择几个比较矛盾的目标:
(1) 高速USB -- 带有高速USB的MCU很难找
(2) 兼容别的下载线 -- 不能用最常见的带高速USB的单片机cy7c68013

我最近在查看,如果我们使用外部HS USB Phy,完全用SoC的架构可行性如何。
如果能搞个XC6SLX16,还有内部EMAC,估计USB+ETH接口都好办了。。。


【948楼】 dr2001

国内货源还是个问题啊…… 不过如果我们想通过美国那边搞,那FPGA就可以上S6了。

出0入0汤圆

发表于 2010-9-8 16:03:15 | 显示全部楼层
最近IC货源很紧张的,交货期都要好几个月

出0入0汤圆

发表于 2010-9-8 22:02:28 | 显示全部楼层
俺赞同Ethernet的方案。。

出0入0汤圆

发表于 2010-9-8 22:31:37 | 显示全部楼层
【951楼】 huayuliang 花生

但是项目初始目标之一兼容已有下载线怎么办…… 比如Altera的USB Blaster。
当然Altera是有一个EthernetBlaster,但是估计没几个人有,不好研究协议……

Xilinx的下载线不知道有没有网口版的……

出0入0汤圆

发表于 2010-9-9 11:15:05 | 显示全部楼层
说了快一年了。。。

出0入0汤圆

发表于 2010-9-9 18:46:03 | 显示全部楼层
引用图片【939楼】minux 啊啊?
-----------------------------------------------------------------------

(原文件名:socket.png)

这种连接器原则上没问题,不过照片中这个脚数貌似太多了

出0入0汤圆

发表于 2010-9-9 18:55:28 | 显示全部楼层
回复【949楼】minux 啊啊?
-----------------------------------------------------------------------

我们还有一个讨论悠久的方案,用128-pin的68013,对EA实施特殊控制
比起那些买不到的芯片,这个方案从硬件上目前是比较靠谱的

SoC方案也是可以考虑的,HS USB Phy 有什么合适的么

出0入0汤圆

发表于 2010-9-9 19:00:22 | 显示全部楼层
【955楼】 h2feo4 无机酸

是呀…… 我现在觉得似乎68013A如果可行,就让他当作高速USB桥,把数据全送给FPGA,
FPGA里面放个更强大的软核,似乎更好些……

EA特殊控制的方案,是否需要做个实验?看看那个VR到底能不能屏蔽。

现在看来68013A可能是最简单的方案了…… 成本上也最低……
68013A+FPGA做成一块板,继续包括我们的堆叠方案,可以增加FPGA处理能力,这个架构挺的,
尤其是如果是堆叠的接线是FPGA接FPGA,我们的灵活度可就大多了……

货源这个问题,总是那样烦人……

如果换这个方案,似乎板子尺寸还可以再小些……

出0入0汤圆

发表于 2010-9-9 19:01:44 | 显示全部楼层
【955楼】 h2feo4 无机酸

高速USB Phy是最不缺的…… 这个方案最麻烦的一点是,我不知道高速USB核要多少资源……
尤其是opencores上的开源核,我从没有试过……

出0入0汤圆

发表于 2010-9-9 19:32:42 | 显示全部楼层
回复【956楼】minux 啊啊?
-----------------------------------------------------------------------

如果堆叠线均为FPGA对FPGA,可以考虑使用BLVDS
这样只要很少的几根线就可以解决互联问题,大大降低布板压力,还能减小面积,降低成本

68013的EA控制需要测试一下

出0入0汤圆

发表于 2010-9-9 20:39:20 | 显示全部楼层
【958楼】 h2feo4 无机酸

咱这么改,其他人同意么……

感觉从头出发绕了大半个地球,然后回到原点了…… ft....

准备用什么FPGA? 还是Spartan-3?

用BLVDS,还是想走总线的路?为啥不全p2p? (当然是必须得留全局信号线的,我倒是觉得数据线可以
只让相邻FPGA连接,总线不穿板,反正换了串行口之后IO富余了)

出0入0汤圆

发表于 2010-9-10 08:48:11 | 显示全部楼层
先 cy7c68013a + spartan 3a + sram + flash 入手,也可以考虑加入usb 2.0 phy 和 网卡,甚至LCD屏也可以考虑。这样的板子本身也是一个完整的soc开发板,可以有多种用途。先拿出东西,准备软件,等能高级的芯片货源充足再说。另外,软件成熟后,低成本的方案也容易设计实现。

出0入0汤圆

发表于 2010-9-10 14:34:49 | 显示全部楼层
【960楼】 zzemu

可不能做成SoC开发板。
本来目前MCU板硬件是已经完成了的,也有足够的SAM3U4E供第一期实验,但是主要是考虑后面的问题。

不然也没必要拖这么长时间…… 本来以为等我们投板的时候SAM3U应该已经大面积铺货了。

更重要的是Spartan 6也是这个情况,而且有消息称Spartan 6危险了……

出0入0汤圆

发表于 2010-9-10 15:51:28 | 显示全部楼层
【961楼】 minux 啊啊?

没必要等 Spartan 6,先用市场上有的芯片, 如Spartan 3a ,软件开发时间不会短了。跳过 Virtex 6 和 Spartan 6 这代芯片,很难看出 Xilinx 有诚意推广。

出0入0汤圆

发表于 2010-9-10 17:24:34 | 显示全部楼层
回复【959楼】minux 啊啊?
-----------------------------------------------------------------------

我们选型太超前了(其实应该是厂家太落后了)
本来SAM3U说的是今年二季度大面积铺货的,可现在眼看就四季度了,货期还是一点都不靠谱

先用能搞到现货的吧

货源靠谱的FPGA选型,S3E,S3A,C3也就这几个吧

出0入0汤圆

发表于 2010-9-10 18:04:46 | 显示全部楼层
【963楼】 h2feo4 无机酸

恩…… 如果考虑性价比和性能,只能上Cyclone 3了吧…… Xilinx不争气,本来S6可以藐视其他一些中低端FPGA的,
可是搞成这样……

MCU就搞Cy7c68013A-128么?这个货源情况不会有变化吧?

我觉得我们如果不想受货源限制太多,还是尽可能用FPGA实现吧。
MCU虽然可以用C语言,但是外设配置情况和外设能力差异非常大,一旦依赖上,就很难换了。。。。
Segger公司一直不推出高速USB的JTAG调试器估计也是由于它所用的单片机家族一直没有便宜的带有高速USB外设的吧……

出0入0汤圆

发表于 2010-9-10 18:38:47 | 显示全部楼层
cy7c68013a 和 usb 2.0 phy 同时考虑采用,先验证。如果usb 2.0 phy的方案甚至是网络接口方案成熟,再定型。

出0入0汤圆

发表于 2010-9-10 19:40:34 | 显示全部楼层
【965楼】 zzemu

如果把高速USB核做到FPGA里面,那资源消耗可不得了了。这个还尽量用现成的吧。

用cy7c68013a的话,还得考虑下RAM如何和FPGA共享的问题。

出0入0汤圆

发表于 2010-9-10 21:56:12 | 显示全部楼层
如果我们想用32位的软核的话,我觉得LatticeMico32比较靠谱,2k多LE搞定(别被名字里的Lattice吓到,
这个是个开源的针对FPGA优化的core,不仅仅用于Lattice的FPGA,在Altera和Xilinx的FPGA上都用成功过)。

尤其是这个用wishbone片上总线,接opencores上的东东都比较方便。

我比较了主要的几个开源32位软核,最后得出的以上结论。
只有Altera的NIOS II/f比他的资源占用少,但是不可移植也不开源。


如果我们要用SoC平台,也只有这个合适了。
用SoC的话,FPGA里面的BRAM要足够多,这样一来Cyclone 3是唯一的选择了……

出0入0汤圆

发表于 2010-9-11 08:24:44 | 显示全部楼层
我觉得可以用68013+FPGA呀,上手快,驱动成熟,速度够快,成本又低,难度也不大.68013就是固件无法保密,而multijtagger反正是开源.

还可以一机多用,比如,可以附带的做一个D版的逻辑分析仪什么的.

我认为开始时,不宜太超前,一步一步的,先有个原型,实现了大部分的功能,再考虑一步一步的提升,倒时大不了也分个basic和ultra版本嘛.

使用USB的话,驱动不是问题,基本上都是bulk驱动,cypress的就比较通用,从microsoft的bulk示例改也容易,我大概看了一下,J也是在WDM的bulk上改的.新的WDK架构看起来更简单了.

用网络的话,在做兼容时,虽然不用写驱动,但是也得写适配层的

出0入0汤圆

发表于 2010-9-13 12:58:59 | 显示全部楼层
【968楼】 hiberhe

驱动不能只考虑Windows平台的啊。。。。
Linux和Mac OS X的都得考虑,维护三套驱动不是容易的事情(更何况32位系统和64位系统,内核版本的问题都很多),
所以最好的办法是不用驱动,使用系统自带的
驱动,这点我们也讨论过,但是还没有一个适应高速数据传输的解决方案。

出0入0汤圆

发表于 2010-9-13 21:11:08 | 显示全部楼层
我觉得还是要一步一步的,当前先立足于Windows,把功能实现了,再一步一步进行扩展.
一般考虑Windows和Linux就差不多了,Mac国内用得还是比较少.

USB使用Bulk方式,驱动可以做得比较通用的(32位和64位比较好解决).Linux可以像J一样,使用libusb(不知道这个支不支持Mac?)

出0入0汤圆

发表于 2010-9-13 22:14:21 | 显示全部楼层
【970楼】 hiberhe

不支持Linux可不行…… 我就用它开发……

libusb其实支持Windows/Linux/Mac OS X,但是,它的性能上不去,也就10MBps吧……
虽然现在我们只做JTAG debug是足够了,不过以后加上逻辑分析仪和Trace功能之类就不够了……

出0入0汤圆

发表于 2010-9-14 00:23:33 | 显示全部楼层
那就剩网络了.

否则PCI/PCIe,这个插卡太麻烦,不靠谱.

出0入0汤圆

发表于 2010-9-14 01:22:41 | 显示全部楼层
【972楼】 hiberhe

所以这个是个很矛盾的事情…… 免驱动的USB协议我还研究了半天…… 尚未找到很好的解决方案……
实在不行就只能用libusb来跨平台了。。。

出0入0汤圆

发表于 2010-9-14 15:42:55 | 显示全部楼层
有没有这种可能性
把我们的设备在逻辑上伪装成一个USB主控器(通过什么总线连接?有没有USB-USB桥?)
这样可以也许可以避免一些麻烦事

出0入0汤圆

发表于 2010-9-14 17:19:27 | 显示全部楼层
【974楼】 h2feo4 无机酸

做成USB Host? 然后怎么接电脑呢?

出0入0汤圆

发表于 2010-9-15 14:12:29 | 显示全部楼层
突然想到一个问题,不能用纯粹的SoC解决方案,不然上位机如何重新配置FPGA。
(动态重配置是个很fz的事情,Altera根本不支持[应该也不可能],Xilinx的Spartan 3
支持但A/E系列不好说,Spartan 6原来手册里写了支持,后来不知何故删除了……
官方支持的只有Virtex 2及它以后的Virtex系列FPGA)。

外面必须得有一个MCU,至少得能接收上位机指令或者读取相应的Flash并配置FPGA
才行(假设我们不使用Multiboot或者动态重配置之类的fancy技术)。

USB的ESD保护似乎可以用TI的TPD3E001,可以申请样片。

出0入0汤圆

发表于 2010-9-15 14:26:56 | 显示全部楼层
要不单片机放弃QFP封装?如果能选择BGA封装的话,那选择就多了。

出0入0汤圆

发表于 2010-9-15 20:10:53 | 显示全部楼层
回复【975楼】minux 啊啊?
-----------------------------------------------------------------------
我想象中是这个样子的(仅仅用来说明思路):
举例,做一块PCI卡,上面一块FPGA
FPGA模拟一个USB主控器,直接截下主机本想发向USB目标设备的数据包,这样可以避免使用USB物理层
但PCI卡不便于使用,如果有USB-USB桥之类的东西(基于USB总线的USB主控器),虚拟之即可

回复【976楼】minux 啊啊?
-----------------------------------------------------------------------
用MultiBoot不好么?
另外,两块FPGA相互配置也是可以的(虽然这个方案搞起来不那么好玩)

回复【977楼】minux 啊啊?
-----------------------------------------------------------------------
用BGA没问题,脚距不小于1mm(不大于三圈球的除外,稀疏阵列除外)
需要引出的IO不多于5圈球(稀疏阵列除外),要不然四层板也很难玩转

出0入0汤圆

发表于 2010-9-15 20:38:14 | 显示全部楼层
听说S6貌似目前只有LX16,LX45,LX45T在量产阶段

出0入0汤圆

发表于 2010-9-17 14:33:16 | 显示全部楼层
表太纠结于下位机的硬件,一个好的设计不是一版就能搞定的

选了SAM3U4C/4E就用呗,不用担心Atmel会停产

出0入0汤圆

发表于 2010-9-18 10:58:15 | 显示全部楼层
同意【980楼】 taoist的说法

开始的时候关键是动起来,等到一定的程度,再慢慢的进行完善.比如标题就是multijtager,先把JTAG用起来,其它的附加功能可以在硬件上留一定的裕量,但一开始就贪大贪全,太过超前,反而影响了开发的进度.

另外要考虑的一点就是,硬件过于前卫,成本必须不低,考虑到尽可能多的网友可以参与进来的话,这势必是个制约(比如BGA我就认为不应该考虑,应该选用大多数网友都能手焊的器件为宜)

出0入0汤圆

发表于 2010-9-19 12:06:04 | 显示全部楼层
既然【980楼】 taoist 都说了Atmel不会停产SAM3U,那只能理解是暂时的货源紧张吧。

那就继续按原定计划做吧。

出0入0汤圆

发表于 2010-9-19 12:07:37 | 显示全部楼层
回复【982楼】minux  啊啊?
-----------------------------------------------------------------------

停产是不会的,问题一直在于能否方便买到么……

出0入0汤圆

发表于 2010-9-19 13:16:54 | 显示全部楼层
to 【983楼】 dr2001

ATMEL卖掉了一个晶元厂,去年又没有屯货,导致所有芯片全部紧张。。。

没有自己的晶元厂的半导体厂今年都疯了

出0入0汤圆

发表于 2010-9-19 13:27:32 | 显示全部楼层
汗,难怪产能那么紧张呢。

出0入0汤圆

发表于 2010-9-19 15:11:00 | 显示全部楼层
【985楼】 dr2001

如果确实产能紧张的话,那我们就不用着急了。。。Atmel肯定更急。。。
反正咱们还有试验用的芯片。

出0入0汤圆

发表于 2010-9-19 20:32:20 | 显示全部楼层
话说回来...HS确实快...Ultra升级时连进度百分比跳变都看不到,直接100%,V8可是看得清清楚楚的。。。

出0入20汤圆

发表于 2010-9-20 17:58:49 | 显示全部楼层
或许是另外一个好的选择:FT2232H+EP3C10,SDRAM使用一片16位的,能够支持到32M.

出0入0汤圆

发表于 2010-9-20 20:54:54 | 显示全部楼层
【988楼】 wenming 老王

FT2232H能买到么?不过那个我们就没办法兼容别的下载线了。

出0入20汤圆

发表于 2010-9-21 11:29:03 | 显示全部楼层
TAO BAO上应该买的到,实在不行,深圳其他地方也应该没有问题的.据说这个IC可以达到20MB/秒以上的传输速度.

做了几年设计,越来越觉得技术不是万能的.根据我的经验,应该从简单的开始,这符合事情发展的规律.一下子

想做那么全,性能那么高,难度很大.另外一个地方速度与是兼容性的问题.其实那个BDI2000使用的好象传输接口

还是10M的以太网,好象用的是MOT的20年前设计的CPU.

举个例子:

如果我们想设计个拖拉机用来耕地,实现起来很容易;如果要设计一个小汽车,用来代步,难度也不大.
但如果客户要求,我们做一款车,既能够上高速公路,速度达到200以上,又能用来耕地,你难道不觉得
设计这辆车的难度比上面那两辆难度的总和还要大好多倍吗?

出0入0汤圆

发表于 2010-9-22 10:52:52 | 显示全部楼层
【990楼】 wenming 老王

我觉得您举的拖拉机和小汽车兼容的例子不太适合这个项目……
我觉得应该举Intel的x86 CPU的例子,既要兼容8086,还要高性能,这两者是不矛盾的,需要的只是一个
好的设计。

我们的目的是兼容一些主流的JTAG下载线,同时开发自己的功能,自己的功能则要尽可能高速。

出0入0汤圆

发表于 2010-9-22 15:24:28 | 显示全部楼层
发现 NC7SZ125P5 比较便宜。

出0入0汤圆

发表于 2010-9-23 00:42:33 | 显示全部楼层
【992楼】 huayuliang 花生

不过那一个IO需要一片这个加上另一片比较器,加起来单IO的成本就不一定小于1T45的了。
XPCU就是这么做的。

出0入0汤圆

发表于 2010-9-25 20:46:47 | 显示全部楼层
今天又和无机酸讨论了下,这里记录下结果:

FPGA选择Spartan-3AN系列,XC3S400AN-FG400或者XC3S700AN-FG484.

我最近赶紧买几片XC3S50AN测试下我们想用的特性。

目前还需要确定的是Xilinx是否提供USB 2.0 Functional Core以及其资源消耗。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 01:23

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表