搜索
bottom↓
回复: 11

新手请教一个PWM的程序。

[复制链接]

出0入0汤圆

发表于 2009-12-8 15:09:27 | 显示全部楼层 |阅读模式
module shiyan01 (iclk,rst,oclk);
input iclk;
input rst;
output [5:0]oclk;
reg [15:0]counter;
reg [5:0]off;
reg [15:0]pwmwidth;
reg [15:0]pwmperiod;
reg [2:0]counter1;
reg clk;
always @ (posedge iclk or negedge rst)
begin
if(!rst)
  begin
   counter<=0;
   pwmperiod<=16'b1000000000000000;
  end
else
  begin
   if(counter>=pwmperiod-1)
    counter<=0;
   else
    counter<=counter+1;
  end
end
always @ (posedge iclk or negedge rst)
begin
if(!rst)
  pwmwidth<=16'b0000000100000000;
else
  begin
   if(counter>=pwmwidth)
    off<=6'b111111;
   else
    off<=6'b000000;
  end
end
assign oclk=off;
endmodule
为什么我写的这个程序的输出一直是0,没有形成波形呢?

阿莫论坛20周年了!感谢大家的支持与爱护!!

该献的血还是要献的。你不献他不献。难道让我去献? --- 出自坛友:lovejp1981

出0入0汤圆

 楼主| 发表于 2009-12-8 15:25:39 | 显示全部楼层
有人能指点一下吗?

出0入0汤圆

发表于 2009-12-8 18:27:48 | 显示全部楼层
reset的高低是否有问题?

出0入0汤圆

 楼主| 发表于 2009-12-8 18:42:27 | 显示全部楼层
没有吧?下降沿复位啊

出0入0汤圆

发表于 2009-12-8 19:00:12 | 显示全部楼层
我拿你的代码(verilog应当称之为代码,而不是所谓程序以示区别),跑了仿真。能出来波形。
testbench如下:

module shiyan01_tb;

        // Inputs
        reg iclk;
        reg rst;

        // Outputs
        wire [5:0] oclk;

        // Instantiate the Unit Under Test (UUT)
        shiyan01 uut (
                .iclk(iclk),
                .rst(rst),
                .oclk(oclk)
        );

        initial begin
                // Initialize Inputs
                iclk = 0;
                rst = 1;

                // Wait 100 ns for global reset to finish
                #100;
                rst = 0;
                #100;
                rst = 1;
        
                // Add stimulus here

        end
      
               
                always #10 iclk = ~iclk;
endmodule

出0入0汤圆

 楼主| 发表于 2009-12-8 19:08:32 | 显示全部楼层
我也用MODSIM做过仿真的,输出一直是0,而且我下到板子上也是0,没变化

出0入0汤圆

 楼主| 发表于 2009-12-8 19:11:29 | 显示全部楼层
`timescale 1ns/100ps
module shiyan01_tb  ;

  wire  [5:0]  oclk   ;
  reg    rst   ;
  reg    iclk   ;
  
  initial
  begin
      iclk=0;
      rst=1;
      #20 rst=0;
      #120 rst=1;
      #10000 $stop;
  end
  
  always #50 iclk=~iclk;
  
  shiyan01  
   DUT  (
       .oclk (oclk ) ,
      .rst (rst ) ,
      .iclk (iclk ) );

endmodule
这是我的TB

出0入0汤圆

发表于 2009-12-8 19:12:51 | 显示全部楼层
你仿真的时间太短了,加长100万倍看看。

出0入0汤圆

 楼主| 发表于 2009-12-8 19:18:38 | 显示全部楼层
仿真时间加长了也没用啊

出0入0汤圆

发表于 2009-12-8 19:53:46 | 显示全部楼层
。。。先用我写的tb跑1秒看看。。。


(原文件名:pwm.jpg)

出0入0汤圆

 楼主| 发表于 2009-12-8 20:24:13 | 显示全部楼层
恩,谢谢你,确实是仿真时间太短了

出0入0汤圆

发表于 2017-12-27 13:42:05 | 显示全部楼层
没看懂你这个!我最近也在搞CPLD的PWM 还没有摸到门!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-9-3 08:14

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表