搜索
bottom↓
回复: 5

请大家帮我看下这个跑马灯的代码,怎么都得不到结果。verilog。

[复制链接]

出0入0汤圆

发表于 2009-11-9 10:08:39 | 显示全部楼层 |阅读模式
module horse_light (clk,reset,led);
input clk,reset;
output reg [7:0] led;
reg [9:0] count;
reg [2:0] state;
parameter s0=0;
parameter s1=1;
parameter s2=2;
parameter s3=3;
parameter s4=4;
parameter s5=5;
parameter s6=6;
parameter s7=7;

always @ (posedge clk)
        if(reset)
                begin
                        state<=s0;
                        count<=10'b0;
                end
        else
                case(state)
                        s0:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s1;
                                        count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b0000_0001;
                                                count<=count+1;
                                        end
                        s1:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s2;
                                        count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b0000_0010;
                                                count<=count+1;
                                        end
                        s2:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s3;
                                        count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b0000_0100;
                                                count<=count+1;
                                        end
                        s3:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s4;
                                        count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b0000_1000;
                                                count<=count+1;
                                        end
                        s4:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s5;
                                        count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b0001_0000;
                                                count<=count+1;
                                        end
                                       
                        s5:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s6;
                                        count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b0010_0000;
                                                count<=count+1;
                                        end
                        s6:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s7;
                                        count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b0100_0000;
                                                count<=count+1;
                                        end
                        s7:if(count==10'b1111_1111_11)
                                        begin
                                        state<=s0;
                                    count<=10'b0;
                                        end
                                else
                                        begin
                                                led<=8'b1000_0000;
                                                count<=count+1;
                                        end
                        default:state<=s0;
                        endcase
endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2009-11-9 10:10:11 | 显示全部楼层

(原文件名:1.JPG)

这是仿真的图

出0入0汤圆

 楼主| 发表于 2009-11-9 10:18:27 | 显示全部楼层
调通了。。。。
原来是我没有在每个case后面加个begin end。

出0入0汤圆

发表于 2010-3-31 09:45:34 | 显示全部楼层
回复【2楼】yvhksovo  
哥们 能把那个有用的代码发一下出来嘛 急啊 拜求

出0入0汤圆

发表于 2010-3-31 11:17:19 | 显示全部楼层
弄个跑马灯。。。这么麻烦哦

出0入0汤圆

发表于 2011-1-7 17:23:56 | 显示全部楼层
回复【2楼】yvhksovo  
-----------------------------------------------------------------------

可以给我一份代码吗?谢谢了,这是我邮箱……joavedinzz@sina.cn
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表