搜索
bottom↓
回复: 5

清大家帮帮看看,怎么样jiejeu这个警告!

[复制链接]

出0入0汤圆

发表于 2009-7-15 17:12:09 | 显示全部楼层 |阅读模式
entity CLKDIV is
------------------------------------------
port (
                CLK_IN :     in  std_logic;
      CLK_RST:     in  std_logic;       
      CLK_CS :     in  std_logic;
      CLK_NUM:     in  std_logic_vector ( 8 downto 0 );               
                CLK_OUT:     out std_logic
                );
------------------------------------------
end CLKDIV;

architecture Behavioral of CLKDIV is
------------------------------------------
signal CLK_CLK:    std_logic;
signal CLK_CNT:    std_logic_vector ( 8 downto 0 );       
------------------------------------------
begin
------------------------------------------
div:  process ( CLK_RST,CLK_IN,CLK_CS )
      begin
                if ( CLK_RST = '0' ) then
                   CLK_CLK <= '1';
                        CLK_CNT <= "000000000";               
      elsif ( CLK_IN' event and CLK_IN = '1' and CLK_CS = '0' ) then
          CLK_CNT <= CLK_CNT + 1;
                         if ( CLK_CNT = CLK_NUM )        then
             CLK_CLK <= not CLK_CLK;
             CLK_CNT <= "000000000";                               
           end if;                                  
      end if;
      end process div;
         
CLK_OUT <= CLK_CLK;       
------------------------------------------
end Behavioral;


entity IIC_CLK is
------------------------------------------
port (
     IIC_CLKIN:          in  std_logic;
          IIC_RST:            in  std_logic;
          IIC_CS:             in  std_logic;
          IIC_CLKOUT0:         out std_logic;
          IIC_CLKOUT1:         out std_logic
     );
------------------------------------------
end IIC_CLK;

architecture Behavioral of IIC_CLK is
------------------------------------------
component CLKDIV
    port (
                   CLK_IN :      in  std_logic;
         CLK_RST:      in  std_logic;
         CLK_CS :      in  std_logic;
         CLK_NUM:      in  std_logic_vector ( 8 downto 0 );                       
                   CLK_OUT:      out std_logic
                   );
end component;
------------------------------------------
------------------------------------------
signal IICaaaaSampClk: std_logic;
signal IICaaaaClk:     std_logic;
signal IICDivNum0: std_logic_vector ( 8 downto 0 );
signal IICDivNum1: std_logic_vector ( 8 downto 0 );
------------------------------------------
begin

IICDivNum0 <= "000000000";
IICDivNum1 <= "000000000";
IIC_CLKOUT0 <= IICaaaaSampClk;
IIC_CLKOUT1 <= IICaaaaClk;

I2C_SampClk: CLKDIV
    port map (
                  CLK_IN  => IIC_CLKIN,
                                 CLK_RST => IIC_RST,
                                 CLK_CS  => IIC_CS,
                                 CLK_NUM => IICDivNum0,
                                 CLK_OUT => IICaaaaSampClk
                  );
                                 
IIC_Clk: CLKDIV
    port map (
                  CLK_IN  => IIC_CLKIN,
                                 CLK_RST => IIC_RST,
                                 CLK_CS  => IIC_CS,
                                 CLK_NUM => IICDivNum1,
                                 CLK_OUT => IICaaaaClk
                  );

end Behavioral;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2009-7-15 17:14:32 | 显示全部楼层
我的开发环境是 ise10.1
编译出现下面的警告!不知道怎么解决!
WARNING:Xst:1989 - Unit <IIC_CLK>: instances <I2C_SampClk>, <IIC_Clk> of unit <CLKDIV> are equivalent, second instance is removed
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<0>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<1>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<2>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<3>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<4>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<5>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<6>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<7>' has no driver
WARNING:NgdBuild:452 - logical net 'I2C_SampClk/CLK_NUM<8>' has no driver

出0入0汤圆

 楼主| 发表于 2009-7-15 17:15:28 | 显示全部楼层
I2C_SampClk: CLKDIV
    port map (
                  CLK_IN  => IIC_CLKIN,
                                 CLK_RST => IIC_RST,
                                 CLK_CS  => IIC_CS,
                                 CLK_NUM => IICDivNum0,
                                 CLK_OUT => IICaaaaSampClk
                  );
如果就只有这个的话,这个警告又没有,现在不知道什么原因!

出0入0汤圆

 楼主| 发表于 2009-7-15 23:04:08 | 显示全部楼层
自己顶下!

出0入0汤圆

 楼主| 发表于 2009-7-16 09:09:11 | 显示全部楼层
现在自己清楚了警告,但是有点不明白!
signal IICDivNum0: std_logic_vector ( 8 downto 0 );
signal IICDivNum1: std_logic_vector ( 8 downto 0 );
I2C_SampClk: CLKDIV  
    port map (  
              CLK_IN  => IIC_CLKIN,  
              CLK_RST => IIC_RST,  
              CLK_CS  => IIC_CS,  
              CLK_NUM => IICDivNum0,   --- 这里是内部的信号!
              CLK_OUT => IICaaaaSampClk  
             )
以上是元件例化>
------------------------------------------
port (
      CLK_IN :     in  std_logic;
      CLK_RST:     in  std_logic;         
      CLK_CS :     in  std_logic;
      CLK_NUM:     in  std_logic_vector ( 8 downto 0 );                 
      CLK_OUT:     out std_logic
     );
------------------------------------------
这个是端口,
如果例化语句改为下面的就没有警告了.


I2C_SampClk: CLKDIV
    port map (
            CLK_IN  => IIC_CLKIN,
            CLK_RST => IIC_RST,
            CLK_CS  => IIC_CS,
            CLK_NUM => IIC_NUM ( 8 downto 0 ),  -- 这里改为端口
            CLK_OUT => IIC_CLKOUT0
            );
为什么会这样呢?希望遇到过的朋友能帮忙解释下?谢谢!

出0入0汤圆

 楼主| 发表于 2009-7-16 09:10:00 | 显示全部楼层
还有,为什么只例化一个的话,可以用内部的信号呢?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 19:30

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表