搜索
bottom↓
回复: 16

FPGA A3P030构建双口RAM失败 应该怎么办??

[复制链接]

出0入0汤圆

发表于 2009-7-5 20:48:18 | 显示全部楼层 |阅读模式
想用周立功公司的A3P030(就是那个99元的开发板) 3万门 ,构造个双口RAM应该没问题吧?
但是我用libero老是提示

不懂他所谓的This design has one or more instances of RAM4k9什么意思
望高手指点下!!!
另外希望有libero教程的能共享下,谢谢,那软件用的很郁闷,但别的又不支持...

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2009-7-5 20:54:17 | 显示全部楼层
怎么传不上图片呢???
只能手写我的错误提示:
Error:CMP600:This design has one or more instances of RAM4k9 macro
              which is not supported for the device in use
难道这款太垃圾了??

出0入0汤圆

发表于 2009-7-5 20:55:59 | 显示全部楼层
这个芯片支持双口RAM吗?

出0入0汤圆

 楼主| 发表于 2009-7-5 21:01:16 | 显示全部楼层
如何获知它支不支持?他的用户手册上也没有提啊

出0入0汤圆

发表于 2009-7-5 21:21:18 | 显示全部楼层
This design has one or more instances of RAM4k9

估计没有RAM4K9这个模块。

出0入0汤圆

发表于 2009-7-5 21:47:58 | 显示全部楼层
AFS 600的可以开双口RAM的

出0入0汤圆

发表于 2009-7-5 21:48:41 | 显示全部楼层
应该是你的器件不支持,看下出错的help。。。。
ACTEL的开发平台真麻烦。。

出0入0汤圆

 楼主| 发表于 2009-7-5 21:57:19 | 显示全部楼层
回复四楼:
“没有RAM4K9这个模块”什么意思?
我是用他的SmartGen即catalog一栏中设置的,怎么改变RAM4K9这个模块??
不是很懂,感谢指教!

出0入0汤圆

发表于 2009-7-5 22:00:17 | 显示全部楼层
也就是你这个器件比较烂,没有RAM4K9这个模块,也就是说你不能用RAM4K9这个模块来做双口RAM了,不过还是可以用逻辑资源来做双口ram的。

出0入0汤圆

 楼主| 发表于 2009-7-5 22:03:14 | 显示全部楼层
回复六楼:
libero的错误不能定位,晕......它只是提示出错,但什么错误它不提示,对错误不能定位......

另外请教下,推荐款FPGA,性价比比较高的,基本性能:能构造2K的RAM,速度较高48M以上吧

出0入0汤圆

 楼主| 发表于 2009-7-5 22:05:21 | 显示全部楼层
用逻辑资源来做双口ram的?
刚刚接触FPGA,很多还是不懂。所谓的使用逻辑资源是否是自己构造?能给个例子借鉴下否?

出0入0汤圆

发表于 2009-7-5 22:13:12 | 显示全部楼层
module simple_dual_port_ram_single_clock
#(parameter DATA_WIDTH=8, ADDR_WIDTH=6)
(
        input [(DATA_WIDTH-1):0] data,
        input [(ADDR_WIDTH-1):0] read_addr, write_addr,
        input we, clk,
        output reg [(DATA_WIDTH-1):0] q
);

        // Declare the RAM variable
        reg [DATA_WIDTH-1:0] ram[2**ADDR_WIDTH-1:0];

        always @ (posedge clk)
        begin
                // Write
                if (we)
                        ram[write_addr] <= data;

                // Read (if read_addr == write_addr, return OLD data).        To return
                // NEW data, use = (blocking write) rather than <= (non-blocking write)
                // in the write assignment.         NOTE: NEW data may require extra bypass
                // logic around the RAM.
                q <= ram[read_addr];
        end

endmodule

出0入0汤圆

发表于 2009-7-5 22:14:00 | 显示全部楼层
ibrary ieee;
use ieee.std_logic_1164.all;

entity simple_dual_port_ram_single_clock is

        generic
        (
                DATA_WIDTH : natural := 8;
                ADDR_WIDTH : natural := 6
        );

        port
        (
                clk                : in std_logic;
                raddr        : in natural range 0 to 2**ADDR_WIDTH - 1;
                waddr        : in natural range 0 to 2**ADDR_WIDTH - 1;
                data        : in std_logic_vector((DATA_WIDTH-1) downto 0);
                we                : in std_logic := '1';
                q                : out std_logic_vector((DATA_WIDTH -1) downto 0)
        );

end simple_dual_port_ram_single_clock;

architecture rtl of simple_dual_port_ram_single_clock is

        -- Build a 2-D array type for the RAM
        subtype word_t is std_logic_vector((DATA_WIDTH-1) downto 0);
        type memory_t is array(2**ADDR_WIDTH-1 downto 0) of word_t;

        -- Declare the RAM signal.       
        signal ram : memory_t;

begin

        process(clk)
        begin
        if(rising_edge(clk)) then
                if(we = '1') then
                        ram(waddr) <= data;
                end if;

                -- On a read during a write to the same address, the read will
                -- return the OLD data at the address
                q <= ram(raddr);
        end if;
        end process;

end rtl;

出0入0汤圆

 楼主| 发表于 2009-7-5 22:18:49 | 显示全部楼层
谢了,我试一把!

出0入0汤圆

发表于 2009-7-6 00:46:26 | 显示全部楼层
A3P030的资源和定位都跟EPM240差不多,没有集成RAM模块的吧

出0入0汤圆

发表于 2009-7-11 23:39:14 | 显示全部楼层
牛人,啥都会

出0入0汤圆

发表于 2012-2-8 10:37:12 | 显示全部楼层
TMS320C6713DSPEMIF接口与FPGA双口RAM接口设计ourdev_716805ILZU77.pdf(文件大小:248K) (原文件名:TMS320C6713DSPEMIF接口与FPGA双口RAM接口设计.pdf)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:12

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表