搜索
bottom↓
回复: 7

初学VHDL,请教寄存器多驱动的错误问题

[复制链接]

出0入0汤圆

发表于 2009-5-12 22:13:42 | 显示全部楼层 |阅读模式
我想实现如图的时钟b

(原文件名:clk.jpg)
思路是clk_in上升沿时clk_out输出0
      clk_in下降沿时clk_out输出1
但是编译的时候出现以下错误
Error (10822): HDL error at clk.vhd(15): couldn't implement registers for assignments on this clock edge
哪位大侠说说这是什么问题呢?

附程序
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;

entity clk is
port(clk_in:in std_logic;
     clk_out:out std_logic);
end clk;

architecture clk1 of clk is
begin
process(clk_in)
begin   

  if(clk_in'event and clk_in='0')then
   clk_out<='1';
  end if;
  
  if(clk_in'event and clk_in='0')then
   clk_out<='0';
  end if;
end process;

end clk1;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2009-5-13 09:17:12 | 显示全部楼层
直接 clk_out <= not clk_in; 不就可以了?

出0入0汤圆

 楼主| 发表于 2009-5-14 07:55:28 | 显示全部楼层
是可以啊,但是我在这里实验的是上升沿和下降沿都作为敏感信号触发,但是就是不行,似乎只能用一个边沿啊

出0入0汤圆

发表于 2011-3-16 14:41:14 | 显示全部楼层
回复【2楼】155107149 北桥少年
----------------------------------------------------------------------
请问你后来怎样解决的

出0入0汤圆

发表于 2011-3-16 14:45:41 | 显示全部楼层
if(clk_in'event and clk_in='0')then
   clk_out<='1';
  end if;
   
  if(clk_in'event and clk_in='0')then
   clk_out<='0';
  end if;
你是要它等于1还是0啊?、

出420入0汤圆

发表于 2011-3-16 16:39:40 | 显示全部楼层
Can you draw a sch. like your thought. it is hardware description language

出0入0汤圆

发表于 2011-3-18 18:26:09 | 显示全部楼层
假如这 if(clk_in'event and clk_in='0')then  
       clk_out<='1';  
  end if;  
成立,你以为clk_out<='1' ,但你记住你设计的是硬件,不是软件,而这if(clk_in'event and clk_in='0')then  
   clk_out<='0';  
  end if;  
不成立, clk_out<='0'保持不变,这与“ clk_out<='1'”不矛盾啦吗?!

出0入0汤圆

发表于 2011-3-18 18:27:35 | 显示全部楼层
不懂call我,QQ1247652780
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 15:25

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表