搜索
bottom↓
回复: 17

【求教】always @()敏感信号列表的问题【恢复】

[复制链接]

出0入0汤圆

发表于 2008-11-17 14:04:54 | 显示全部楼层 |阅读模式
我用的是QUARTUS II 8.0 综合

module liangzhu(sys_clk,rst_n,sp);

    input            sys_clk,rst_n;

    output        sp;

   //-----------------------------------------------------------------------------------------



  always @ (posedge sys_clk or posedge rst_n)         //always @ (posedge sys_clk or  rst_n)

    if (!rst_n)

        clk_cnt <= 24'd0;

    else

        clk_cnt <= clk_cnt + 1'b1;



都综合不了,报错

   //-----------------------------------------------------------------------------------------

而   always @ (posedge sys_clk or negedge rst_n)

    if (!rst_n)

        clk_cnt <= 24'd0;

    else

        clk_cnt <= clk_cnt + 1'b1;

  就可以综合,请问怎么回事?

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2008-11-18 15:53:41 | 显示全部楼层
哦,对了,谢谢大家!

出0入0汤圆

发表于 2008-11-18 10:49:24 | 显示全部楼层
posedge rst_n 上升沿有效,进入always里面后rst_n当然是高电平,你怎么又在里面判断rst_n是不是低电平呢?

出0入0汤圆

发表于 2008-11-17 14:44:11 | 显示全部楼层
posedge 上升沿有效

negedge 下降沿有效

出0入0汤圆

发表于 2008-11-17 14:40:15 | 显示全部楼层
把always 里面的 negedge rst_n去掉:

always @ (posedge sys_clk) 

    if (!rst_n) 

        clk_cnt <= 24'd0; 

    else 

        clk_cnt <= clk_cnt + 1'b1; 

出0入0汤圆

 楼主| 发表于 2008-11-17 14:05:08 | 显示全部楼层
报错说:

Error (10200): Verilog HDL Conditional Statement error at liangzhu.v(12): cannot match operand(s) in the condition to the corresponding edges in the enclosing event control of the always construct

出0入0汤圆

发表于 2010-2-21 19:35:46 | 显示全部楼层
.....
assign tclk=((clk&!mode)|mode);
assign sclk=((settm&mode)|!mode);

always @(posedge tclk or posedge sclk)
begin
        if(mode==0)  
.....
        else
.....                               
        end
end

我写的程序如上,(省略了一部分),错误是一样的,请哥们帮忙看一下,

出0入4汤圆

发表于 2010-2-22 08:47:57 | 显示全部楼层
楼上的你要干嘛? 难道用双时钟? 牛啊!

出0入0汤圆

发表于 2010-3-3 16:20:17 | 显示全部楼层
没用啊,一个是正常的时钟信号,另一个是校时的时钟信号

出0入0汤圆

发表于 2010-3-4 03:56:46 | 显示全部楼层
不能双时钟沿触发。硬件实现不了。

出0入0汤圆

发表于 2010-3-4 07:58:58 | 显示全部楼层
记号

出0入0汤圆

发表于 2010-3-4 09:13:42 | 显示全部楼层
回复【9楼】ngzhang 兽哥
-----------------------------------------------------------------------

我看到别人的程序上有用双时钟沿触发的啊,好像没错

出0入0汤圆

发表于 2010-3-4 22:30:24 | 显示全部楼层
回复【11楼】hugang0120
-----------------------------------------------------------------------

双时钟沿触发在仿真阶段是可以过,综合的时候出错,因为硬件没法做成这样的,至少目前写成这样没法综合。

出0入0汤圆

发表于 2010-3-5 19:56:51 | 显示全部楼层
不会吧? 我看到很多程序这样啊

出0入0汤圆

发表于 2010-3-14 20:48:02 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-3-14 21:19:14 | 显示全部楼层
最无耻的是网上下载的Verilog HDL例子大部分是仿真例子。这已经误导人了。

出0入0汤圆

发表于 2010-4-19 11:13:08 | 显示全部楼层
posedge rst_n 上升沿有效,进入always里面后rst_n当然是高电平,你怎么又在里面判断rst_n是不是低电平呢?
------------------------
明白了,搞了一上午,这错误。。。强力MARK

出0入0汤圆

发表于 2010-4-20 22:33:45 | 显示全部楼层
呵呵 也跟着学习了
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:36

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表