搜索
bottom↓
回复: 29

今年电子设计竞赛做信号发生器~

[复制链接]

出0入0汤圆

发表于 2008-9-12 22:28:25 | 显示全部楼层 |阅读模式
拿到题目有5个,我们做的信号发生器用DDS做的,基本功能基本都实现了。
1.基本要求
(1)制作完成一路正弦波信号输出,频率范围20Hz~20kHz;
(2)具有频率设置和频率步进功能,频率步进10Hz;
(3)输出信号频率稳定度优于10-5,用示波器观察时无明显失真;
(4)输出电压幅度:在10kΩ负载电阻上的电压峰-峰值Vopp≥20V;
(5)数字显示正弦波的电压有效值、频率等,电压有效值精度5%,频率精度0.1%。
就是频率没测量,直接显示了 因为DDS很的频率非常精确,  但是题目要求测量,我们也没哪个时间做了 。 测量有效值就用了个二极管加电容,本来以为电容越大越好,于是去找了个1000uF的,但是这么大的电容放电超级慢,电压下降要等很久。。。。汗~没考虑好,后来试了试1uF的效果还好 ,测量值再软件补偿基本没问题~~~~
但是扩展功能就麻烦了,
2.发挥部分
(1)将正弦波输出信号扩展到三相输出,波形无明显失真,频率可调范围扩展到1Hz~30kHz,频率步进1Hz;
(2)在上述信号频率范围内,任两相间的相位差在0°~359°范围内可任意预置,相位差步进1°;
(3)在1Hz~30kHz频率范围内,增加矩形波输出信号,频率可任意预置,频率步进2Hz,频率精度0.05%;矩形波信号的占空比可以预置,占空比步进 ,当占空比为 时,误差≤± ;
(4)信号发生器能输出载波频率约为10kHz的调频信号输出,要求调制信号频率在100Hz~1kHz频率范围内可变,用示波器观察载波信号无明显失真;
(5)其它。
扩展到三相 ,很简单 做了三块DDS, 直接出来, 但是后面的相位调整,我们用的是AD9835,相位控制没有弄好,9835又没有复位脚,调试了很久  相位出来的成功率非常低,
接下来就是PWM 了,  本来是想通过正弦信号处理得到方波的  可是 由于相位没有能够调出来 所以这个也放弃了,还想用MAX038,但是那东西用起来比较麻烦,搭了两个电路 ,一点信号都没有出来 放弃放弃~~~~
载波本来想用混频器的,实验了不行,  哎  这次郁闷了
其他嘛 做了个FSK ,



拿奖的几率不是很大了,当初的方案没有选择好, 疯狂了4天 还是有点收获的~

阿莫论坛20周年了!感谢大家的支持与爱护!!

曾经有一段真挚的爱情摆在我的面前,我没有珍惜,现在想起来,还好我没有珍惜……

出0入0汤圆

发表于 2008-9-13 07:22:22 | 显示全部楼层
电压有效值这里应该是真有效值吧,二极管家电容是平均值

出0入0汤圆

发表于 2008-9-13 08:04:28 | 显示全部楼层
有效值的测量可以用AD8307

至于出多路信号源,可以采用多篇DDS同步的办法,或者用DA自己做DDS

出0入0汤圆

 楼主| 发表于 2008-9-13 08:53:17 | 显示全部楼层
还有一组用FPGA加快速8bitDA做的  控制相位频率也比较简单

这比赛最爽的就是不用考虑成本~~~想买什么就买什么 哈哈

出0入0汤圆

发表于 2008-9-13 08:55:02 | 显示全部楼层
LZ的频率不高,自己用DA做DDS,对于发挥部分应该好做一点。

出0入0汤圆

发表于 2008-9-13 09:03:28 | 显示全部楼层
三块DDS,还是AD9835。口水的一蹋糊涂。

出0入0汤圆

发表于 2008-9-13 11:44:52 | 显示全部楼层
用ad637测量有效值就可以了

出0入0汤圆

 楼主| 发表于 2008-9-13 12:45:46 | 显示全部楼层
总共买了6块 9835。。。  PWM用比较器双电源调试可以了,可惜现在晚了  不过打算到时候混过去。
老师还买MAX038.。。。。要100多一块。。。
当初用AD9850系列的应该要好 ,不过那个价格要翻番了~

出0入0汤圆

发表于 2008-9-13 13:11:54 | 显示全部楼层
AD9858  AD9954比较好用

出0入0汤圆

发表于 2008-10-5 21:26:15 | 显示全部楼层
矩形波输出信号,频率可任意预置,矩形波信号的占空比可以预置:精度要求高,

感觉还是有点难啊。

出0入4汤圆

发表于 2008-10-5 21:53:27 | 显示全部楼层
FPGA+8bitDAC方案更好

出0入0汤圆

发表于 2008-10-6 10:32:19 | 显示全部楼层
LZ你好,今年我参加四川省的电子竞赛,也要做信号发生器(采用DDS技术)

芯片选用AD9850,能否共享下你们的资料哈

QQ:277817639

这是我们组题目的功能框图





 (原文件名:框图.jpg) 





 (原文件名:信号发生器.jpg) 

出0入0汤圆

发表于 2009-3-26 14:01:08 | 显示全部楼层

FPGA实现DDS (原文件名:dds.jpg)
FPGA实现DDS

出0入4汤圆

发表于 2009-3-26 14:31:39 | 显示全部楼层
ls能传一下详细资料吗

出0入0汤圆

发表于 2009-4-1 19:29:39 | 显示全部楼层
都是高手,我还是多学习吧!

出0入0汤圆

发表于 2009-4-15 17:45:54 | 显示全部楼层
我是一只菜鸟,请问各位大虾用ATmage16实现采集并显示电压的原理图是怎么样的?

出0入0汤圆

发表于 2009-4-16 00:39:57 | 显示全部楼层
那么多的DDS,真是有米人哪

出0入0汤圆

发表于 2009-4-16 13:57:31 | 显示全部楼层
支持!

出0入0汤圆

发表于 2009-4-16 16:51:55 | 显示全部楼层
想起07年9月份在学校参赛的时候,真是疯狂啊!那时也是做信号发生器!

出0入0汤圆

发表于 2009-4-16 17:04:07 | 显示全部楼层
不计成本就是牛。

出0入0汤圆

发表于 2009-4-16 17:31:12 | 显示全部楼层
烧钱,找带芯片不管多少钱都买,不计任何成本的做东西,实在是不符合市场规律。

大学生设计大赛应该把成本约束一下,作为提高部分的一个指标。

出0入0汤圆

发表于 2009-6-11 21:29:02 | 显示全部楼层
呵呵,都是高手,看来得多学习啊

出0入0汤圆

发表于 2009-8-29 16:40:47 | 显示全部楼层
bucuo

出0入0汤圆

发表于 2009-8-29 16:44:57 | 显示全部楼层
还是得好好看看DDS啊

出0入0汤圆

发表于 2009-10-8 14:41:22 | 显示全部楼层
譬如正弦波,有无对它的谐波分量(fft)有要求?

出0入0汤圆

发表于 2009-11-14 21:27:32 | 显示全部楼层
呵呵呵

出0入0汤圆

发表于 2010-8-21 09:08:19 | 显示全部楼层
我们老师都不提倡用DDS 可以用FPGA做个DDS啊

出0入0汤圆

发表于 2010-9-23 07:02:51 | 显示全部楼层
支持

出0入0汤圆

发表于 2011-8-2 00:29:10 | 显示全部楼层
我们就是用FPGA+高速DA做的,效果还不错,就是频率分辨率有点低,20K,现在还在想办法提高频率分辨率。
DA用的AD9708,挺实惠的!

(原文件名:VFSS0262.jpg)

(原文件名:2011-07-31 10.47.25.jpg)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-26 09:12

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表