搜索
bottom↓
回复: 7

数控分频器程序(VHDL)仿真成功,但下到板子里好像没反应,请各位大侠帮忙

[复制链接]

出0入0汤圆

发表于 2008-8-30 23:06:01 | 显示全部楼层 |阅读模式
LIBRARY IEEE;
        USE IEEE.STD_LOGIC_1164.ALL;
        USE IEEE.STD_LOGIC_UNSIGNED.ALL;
        ENTITY DVF IS
            PORT (   CLK  : IN STD_LOGIC;
                       D  : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
                     FOUT : OUT STD_LOGIC  );
        END;
        ARCHITECTURE one OF DVF IS
            SIGNAL   FULL : STD_LOGIC;
        BEGIN
          P_REG: PROCESS(CLK)
           VARIABLE CNT8 : STD_LOGIC_VECTOR(7 DOWNTO 0);
           BEGIN           
              IF CLK'EVENT AND CLK = '1' THEN
                    IF CNT8 = "11111111" THEN   
                    CNT8 := D;      --当CNT8计数计满时,输入数据D被同步预置给计数器CNT8   
                      FULL <= '1'; --同时使溢出标志信号FULL输出为高电平               
                        ELSE   CNT8 := CNT8 + 1;  --否则继续作加1计数
                                FULL <= '0';        --且输出溢出标志信号FULL为低电平      
                    END IF;
              END IF;
            END PROCESS P_REG ;
           P_DIV: PROCESS(FULL)
             VARIABLE CNT2 : STD_LOGIC;
           BEGIN
           IF FULL'EVENT AND FULL = '1' THEN  
             CNT2 := NOT CNT2; --如果溢出标志信号FULL为高电平,D触发器输出取反
                 IF CNT2 = '1' THEN  FOUT <= '1'; ELSE FOUT <= '0';
                END IF;
           END IF;
            END PROCESS P_DIV ;
END;
程序应该没错,仿真也成功啊,但是下到板子上,没现象。请各位高手指点;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2008-8-31 00:51:15 | 显示全部楼层
没反应不一定是逻辑错,电路的原因也可能。

出0入0汤圆

发表于 2008-8-31 01:06:02 | 显示全部楼层
仿真没有问题。
你的硬件电路的D  : IN STD_LOGIC_VECTOR(7 DOWNTO 0)如果是255的话,那FOUT就输出高电平

出0入0汤圆

 楼主| 发表于 2008-8-31 21:15:22 | 显示全部楼层
回2楼,我没有设255,试过很多,都不行啊。我用键盘设置的D:IN STD_LOGIC_VECTOR(7 DOWNTO 0),无论怎么设置都不行啊。

出0入0汤圆

发表于 2008-9-2 08:54:04 | 显示全部楼层
看看是不是时钟的问题,检查不用的那些引脚设置是三态还是输入。

出0入0汤圆

发表于 2009-2-24 11:08:54 | 显示全部楼层
键2/键1负责输入8位预置数D(PIO7-PIO0);CLK由clock0输入,频率选65536Hz或更高(确保分频后落在音频范围);输出FOUT接扬声器(SPKER)。编译下载后进行硬件测试:改变键2/键1的输入值,可听到不同音调的声音。

出0入0汤圆

发表于 2010-6-5 09:39:05 | 显示全部楼层
我的代码和你的一样,可是仿真不出来,怎么回事啊

出0入0汤圆

发表于 2012-4-23 17:00:14 | 显示全部楼层
路过!。。。。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:13

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表