搜索
bottom↓
回复: 32

PS/2接口协议解析及应用

[复制链接]

出0入0汤圆

发表于 2008-8-16 14:06:18 | 显示全部楼层 |阅读模式
在网上看到这篇文章,感觉还有用,转来共享,原文是全角的,看起来费劲,自己用Word转了一下,看起来舒服多了,文章如下:


摘要:文中详细介绍了PS/2接口协议的内容、电气特性和标准键盘的第二套键盘扫描码集。给出了基于嵌入式系统的PS/2接口的软、硬件实现方法,并介绍了工控PC外接双键盘的解决方案。通过使用模拟开关CD4052巧妙地解决了工控PC外接双键盘的冲突问题。
    关键词:PS/2接口;串行通讯;单片机;键盘;CD4052
1 PS/2接口标准的发展过程
随着计算机工业的发展,作为计算机最常用输入设备的键盘也日新月异。1981年IBM推出了IBM PC/XT键盘及其接口标准。该标准定义了83键,采用5脚DIN连接器和简单的串行协议。实际上,第一套键盘扫描码集并没有主机到键盘的命令。为此,1984年IBM推出了IBM AT键盘接口标准。该标准定义了84~101键,采用5脚DIN连接器和双向串行通讯协议,此协议依照第二套键盘扫描码集设有8个主机到键盘的命令。到了1987年,IBM又推出了PS/2键盘接口标准。该标准仍旧定义了84~101键,但是采用6脚MINI-DIN连接器,该连接器在封装上更小巧,仍然用双向串行通讯协议并且提供有可选择的第三套键盘扫描码集,同时支持17个主机到键盘的命令。现在,市面上的键盘都和PS/2及AT键盘兼容,只是功能不同而已。

(原文件名:01.gif)

2 PS/2接口硬件
2.1 物理连接器
一般,具有五脚连接器的键盘称之为AT键盘,而具有六脚MINI-DIN连接器的键盘则称之为PS/2键盘。其实这两种连接器都只有四个脚有意义。它们分别是Clock(时钟脚)、Data(数据脚)、+5V(电源脚)和Ground(电源地)。在PS/2键盘与PC机的物理连接上只要保证这四根线一一对应就可以了。PS/2键盘靠PC的PS/2端口提供+5V电源,另外两个脚Clock(时钟脚)和Data(数据脚)都是集电极开路的,所以必须接大阻值的上拉电阻。它们平时保持高电平,有输出时才被拉到低电平,之后自动上浮到高电平。现在比较常用的连接器如图1所示。
2.2 电气特性
PS/2通讯协议是一种双向同步串行通讯协议。通讯的两端通过Clock(时钟脚)同步,并通过Data(数据脚)交换数据。任何一方如果想抑制另外一方通讯时,只需要把Clock(时钟脚)拉到低电平。如果是PC机和PS/2键盘间的通讯,则PC机必须做主机,也就是说,PC机可以抑制PS/2键盘发送数据,而PS/2键盘则不会抑制PC机发送数据。一般两设备间传输数据的最大时钟频率是33kHz,大多数PS/2设备工作在10~20kHz。推荐值在15kHz左右,也就是说,Clock(时钟脚)高、低电平的持续时间都为40μs。每一数据帧包含11~12个位,具体含义如表1所列。

表1 数据帧格式说明
1个起始位 总是逻辑0
8个数据位 (LSB)低位在前
1个奇偶校验位 奇校验
1个停止位 总是逻辑1
1个应答位 仅用在主机对设备的通讯中

表中,如果数据位中1的个数为偶数,校验位就为1;如果数据位中1的个数为奇数,校验位就为0;总之,数据位中1的个数加上校验位中1的个数总为奇数,因此总进行奇校验。
2.3 PS/2设备和PC机的通讯
PS/2设备的Clock(时钟脚)和Data(数据脚)都是集电极开路的,平时都是高电平。当PS/2设备等待发送数据时,它首先检查Clock(时钟脚)以确认其是否为高电平。如果是低电平,则认为是PC机抑制了通讯,此时它必须缓冲需要发送的数据直到重新获得总线的控制权(一般PS/2键盘有16个字节的缓冲区,而PS/2鼠标只有一个缓冲区仅存储最后一个要发送的数据)。如果Clock(时钟脚)为高电平,PS/2设备便开始将数据发送到PC机。一般都是由PS/2设备产生时钟信号。发送时一般都是按照数据帧格式顺序发送。其中数据位在Clock(时钟脚)为高电平时准备好,在Clock(时钟脚)的下降沿被PC机读入。PS/2设备到PC机的通讯时序如图2所示。
当时钟频率为15kHz时,从Clock(时钟脚)的上升沿到数据位转变时间至少要5μs。数据变化到Clock(时钟脚)下降沿的时间至少也有5 μs,但不能大于25 μs,这是由PS/2通讯协议的时序规定的。如果时钟频率是其它值,参数的内容应稍作调整。
上述讨论中传输的数据是指对特定键盘的编码或者对特定命令的编码。一般采用第二套扫描码集所规定的码值来编码。其中键盘码分为通码(Make)和断码(Break)。通码是按键接通时所发送的编码,用两位十六进制数来表示,断码通常是按键断开时所发送的编码,用四位十六进制数来表示。
3 PS/2接口的嵌入式软件编程方法
PS/2设备主要用于产生同步时钟信号和读写数据。
3.1 PS/2向PC机发送一个字节


(原文件名:02.gif)

    从PS/2向PC机发送一个字节可按照下面的步骤进行:
(1)检测时钟线电平,如果时钟线为低,则延时50μs;
(2)检测判断时钟信号是否为高,为高,则向下执行,为低,则转到(1);
(3)检测数据线是否为高,如果为高则继续执行,如果为低,则放弃发送(此时PC机在向PS/2设备发送数据,所以PS/2设备要转移到接收程序处接收数据);
(4)延时20μs(如果此时正在发送起始位,则应延时40μs);
(5)输出起始位(0)到数据线上。这里要注意的是:在送出每一位后都要检测时钟线,以确保PC机没有抑制PS/2设备,如果有则中止发送;
(6)输出8个数据位到数据线上;
(7)输出校验位;
(8)输出停止位(1);
(9)延时30μs(如果在发送停止位时释放时钟信号则应延时50μs);
通过以下步骤可发送单个位:
(1)准备数据位(将需要发送的数据位放到数据线上);
(2)延时20μs;
(3)把时钟线拉低;
(4)延时40μs;
(5)释放时钟线;
(6)延时20μs。
3.2 PS/2设备从PC机接收一个字节
由于PS/2设备能提供串行同步时钟,因此,如果PC机发送数据,则PC机要先把时钟线和数据线置为请求发送的状态。PC机通过下拉时钟线大于100μs来抑制通讯,并且通过下拉数据线发出请求发送数据的信号,然后释放时钟。当PS/2设备检测到需要接收的数据时,它会产生时钟信号并记录下面8个数据位和一个停止位。主机此时在时钟线变为低时准备数据到数据线,并在时钟上升沿锁存数据。而PS/2设备则要配合PC机才能读到准确的数据。具体连接步骤如下:
(1)等待时钟线为高电平。
(2)判断数据线是否为低,为高则错误退出,否则继续执行。
(3)读地址线上的数据内容,共8个Bit,每读完一个位,都应检测时钟线是否被PC机拉低,如果被拉低则要中止接收。
(4)读地址线上的校验位内容,1个Bit。
(5)读停止位。
(6)如果数据线上为0(即还是低电平),PS/2设备继续产生时钟,直到接收到1且产生出错信号为止(因为停止位是1,如果PS/2设备没有读到停止位,则表明此次传输出错)。
(7)输出应答位。
(8)检测奇偶校验位,如果校验失败,则产生错误信号以表明此次传输出现错误。
(9)延时45 μs,以便PC机进行下一次传输。
读数据线的步骤如下:
(1)延时20μs;
(2)把时钟线拉低;
(3)延时40μs;
(4)释放时钟线;
(5)延时20μs;
(6)读数据线。
下面的步骤可用于发出应答位;
(1)延时15μs;
(2)把数据线拉低;
(3)延时5μs;
(4)把时钟线拉低;
(5)延时40μs;
(6)释放时钟线;
(7)延时5μs;
(8)释放数据线。

(原文件名:03.gif)


4 用于工控机的双键盘设计
工控机通常要接标准键盘,但是为了方便操作,常常需要外接一个专用键盘。此实例介绍了在工控PC机到PS/2总线上再接入一个自制专用键盘的应用方法。
该设计应能保证两个键盘单独工作,而且相互不能影响。因此,不能直接把专用键盘和标准键盘一起接到工控PC的PS/2口。鉴于这种情况,本设计使用模拟开关CD4052并通过时分复用工控PC的PS/2口,来使在同一个时刻只有一个键盘有效,从而解决上述问题。其硬件原理图如图3所示。其中P2口和P1口用于键盘扫描电路(图中未画出),P0.0为数据端,P0.1为时钟端,P0.2为模拟开关选通端。由于专用键盘不需要接收工控PC机的命令,所以软件中并不需要写这部分相应的代码。
通过软件可在专用键盘复位后把P0.2清0,以使模拟开关CD4052打开相应的通道。这时工控PC的标准键盘将开始工作。标准键盘可以完成工控PC刚启动时对外设检测的应答。复位后的专用键盘不停地扫描有没有按键,如果有键按下则识别按键,并且按照预先的设计进行编码,同时调用发送程序并通过PS/2口发送到工控PC。此时模拟开关关闭相应通道(将P0.2置1),专用键盘接入工控PC PS/2口的时钟线和数据线而工作,但标准键盘被模拟开关从PS/2的时钟线和数据线中断而不工作,这样,双键盘便可时分复用同一个工控PC机的PS/2口。相应的发送子程序如下:
#define DATA P00 用P0.0做数据线
#define CLK P01 用P0.1做时钟线
#define INHIBIT P02 用P0.2做CD4052的INH端
#define PORTR P1 用P1口做读入口
#define PORTW P2 用P2口做写出口 可以实现64个自定义键
void send(uchar x)/***function for send a char da-ta***/
{
uchar i,temp,char_temp;
bit flag_check=1;
INHIBIT=1;//disable standard keyboard
delay_ ms(3);
temp=x;
for(i=0;i<8;i++)//find the number of 1 in this uchar x is odd or not
{
char_temp=temp&0x01;
if(char_temp==0x01)
{
flag_check=!flag_check;
}
temp=temp>>1;
}
CLK=1;//send 1 to P1 then read P1
while (!CLK) //if CLK is low wait
{
;
}
CLK=1;DATA=1;//send 1 to P1 then read P1
if(CLK==1)
{
delay_us(30);//
}
if(CLK==1&&DATA==1)//send DATA
{
DATA=0;//start bit 0
delay_us(10);
CLK=0;
delay_us(5);//
temp=x;
for(i=0;i<8;i++)//send 8 bits LSBfirst
{
CLK=1;
delay_us(5);
char_temp=temp&0x01;
if(char_temp==0x01)
{
DATA=1;
}
else
{
DATA=0;
}
//DATA=(bit)(temp&0x01);
//LSB
delay_us(10);
CLK=0;
delay_us(5);
temp=temp>>1;
}
CLK=1;//send check bit
delay_us(5);
DATA=flag_check;
delay_us(10);
CLK=0;
delay_us(5)
CLK=1;//send stop bit
delay_us(5);
DATA=1;
delay us10
CLK=0
delay_us(5);
CLK=1;
delay_us(30); 
CLK=1;DATA=1;//send 1 to P1 then read P1
if(CLK==1&&DATA==0)
{
return; //pc is sending DATA to mcu, go to
receiving function
}
INHIBIT=0; //enable standard keyboard
}
5 结论
PS/2接口协议是现在大多数键盘&#65380;鼠标与PC机通讯的标准协议&#65377;其中鼠标对PC机的通讯更为简单,只是传输数据的内容不一样而已&#65377;充分理解PS/2接口协议,可以帮助设计者自主开发一些工控机上的专用键盘等外设,并能够按照用户的要求开发出专用的多功能键盘&#65377;该工控机的双键盘设计目前已被某工控公司所采纳,并已作为组件加入到产品当中&#65377;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2008-8-16 21:49:54 | 显示全部楼层
收藏了,谢谢。

出0入0汤圆

发表于 2008-8-16 23:51:56 | 显示全部楼层
收藏了,谢谢

出0入0汤圆

发表于 2008-8-17 00:31:16 | 显示全部楼层
留个记号,谢谢。
头像被屏蔽

出0入0汤圆

发表于 2008-8-17 13:09:17 | 显示全部楼层
提示: 作者被禁止或删除 内容自动屏蔽

出0入0汤圆

发表于 2008-8-17 18:19:04 | 显示全部楼层
今天我刚买了一个键盘接口,在实验板上加上去,过几天再做实验.哈哈~~今天好开心,88块买了一台新的喷墨打印机.是佳能1880的.天上掉下来的啊!爽!!!!!!!!!!!

出0入0汤圆

发表于 2008-8-18 14:04:57 | 显示全部楼层
为什么连上pc后数据线一直为低电平呢?

出0入0汤圆

发表于 2008-8-19 13:52:31 | 显示全部楼层
有哪位大侠成功的,跟个贴吧!阿门

出0入0汤圆

发表于 2008-8-19 14:08:36 | 显示全部楼层
好东西
谢了!

出0入0汤圆

发表于 2008-8-22 21:38:24 | 显示全部楼层
好东西,收藏了~

出0入8汤圆

发表于 2008-11-29 17:32:28 | 显示全部楼层
HAO

出0入0汤圆

发表于 2008-11-30 00:12:09 | 显示全部楼层
有没有初始化时的步骤?

出0入0汤圆

发表于 2009-3-26 14:16:12 | 显示全部楼层
好东西收藏了!!!

出0入0汤圆

发表于 2009-4-8 08:45:00 | 显示全部楼层
可以把整个工程添加上吗?最好是原理图及原程序.

出10入8汤圆

发表于 2009-4-8 08:56:59 | 显示全部楼层
mark.

出0入0汤圆

发表于 2009-9-1 14:29:09 | 显示全部楼层
很不错
  很详细
    收下了

出0入0汤圆

发表于 2009-9-4 09:06:02 | 显示全部楼层
ddddddddddddddddddddddddddddddddddd

出0入0汤圆

发表于 2009-9-4 10:22:44 | 显示全部楼层
记号先,以后慢慢看。

出0入0汤圆

发表于 2009-9-4 10:25:34 | 显示全部楼层
记号!多谢LZ!

出0入0汤圆

发表于 2009-9-13 21:46:29 | 显示全部楼层
mark!!

出0入0汤圆

发表于 2009-9-15 16:35:53 | 显示全部楼层
好资料,记号一下

出0入0汤圆

发表于 2009-12-20 14:01:23 | 显示全部楼层
很好的资料!收藏了!谢谢!!

出0入0汤圆

发表于 2010-9-9 17:39:57 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-9-9 18:27:28 | 显示全部楼层
很好的资料,谢谢

出0入0汤圆

发表于 2010-9-14 20:37:05 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-11-1 17:33:17 | 显示全部楼层
mark!

出0入0汤圆

发表于 2010-11-1 18:29:28 | 显示全部楼层
我也留下记号,用时再来学。

出0入0汤圆

发表于 2010-12-3 09:00:36 | 显示全部楼层
好东西,就要顶,我在其它地方看到的没有这个全。

出0入0汤圆

发表于 2010-12-3 09:36:47 | 显示全部楼层
接收也很重要。。。。。。。。。。。。。。。。。。。。。。。。。。。


(原文件名:05b0a077d8b4ab4ae15f2a253a24b035.jpg)

出0入0汤圆

发表于 2012-2-13 15:11:47 | 显示全部楼层
mark ps/2

出0入0汤圆

发表于 2012-2-13 17:40:54 | 显示全部楼层
很好的资料,谢谢

出0入0汤圆

发表于 2015-6-3 20:23:43 | 显示全部楼层
下载 看看  谢谢留住分享
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-23 08:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表