搜索
bottom↓
回复: 11

求助,modelsim仿真,对输入信号初始化了,还是出现stx

[复制链接]

出0入0汤圆

发表于 2013-12-27 21:43:45 | 显示全部楼层 |阅读模式
本帖最后由 geo1216 于 2013-12-27 21:45 编辑

如题,设备是cycloneii
先在quartusii编写
module half_clk(clk_in,clk_out,reset);
        input clk_in,reset;
        output clk_out;
        reg clk_out;
        always@(posedge clk_in)
                begin
                        if(reset)
                                clk_out=0;
                        else
                                clk_out=~clk_out;
                end
endmodule
接着综合,布线,EDA netlist writter生成half_clk.vo half_clk_v.sdo
然后在modelsim里,编了testbench
`timescale 1ns/100ps
include "./half_clk.vo";
`define clk_cycle 50
module top;
  reg clk,reset;
  wire clk_out;
  always #`clk_cycle clk=~clk;
  initial
    begin
      clk=0;
      reset=1;
      #10 reset=0;
      #110 reset=1;
      #10000 $stop;
    end
  half_clk m0(.reset(reset),.clk_in(clk),.clk_out(clk_out));
endmodule
把half_clk.vo,cycloneii_atoms.v,testbench.v,half_clk_v.sdo都放进工程文件夹了
结果仿真的时候,clk_out一直是Stx,请问这是怎么回事啊?

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2013-12-30 16:14:09 | 显示全部楼层
我也遇到同样的问题了

出0入0汤圆

发表于 2013-12-30 19:13:06 | 显示全部楼层
你always里面有问题

这样写
always(posedge clk_in or posedge) begin
;
;
end

出0入0汤圆

 楼主| 发表于 2013-12-31 14:27:18 | 显示全部楼层
william_rain 发表于 2013-12-30 19:13
你always里面有问题

这样写

不行啊 posedge 后面得有参数啊

出0入0汤圆

发表于 2013-12-31 14:33:48 | 显示全部楼层
geo1216 发表于 2013-12-31 14:27
不行啊 posedge 后面得有参数啊

always(posedge clk_in or posedge reset) begin
;
;
end

出0入0汤圆

 楼主| 发表于 2014-1-1 22:03:15 | 显示全部楼层
william_rain 发表于 2013-12-31 14:33
always(posedge clk_in or posedge reset) begin
;
;


按照您说的改了,效果如图,不过不明白为什么输出在4338ps时刻变成st0,而且波形不太对

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

 楼主| 发表于 2014-1-1 22:12:20 | 显示全部楼层
william_rain 发表于 2013-12-31 14:33
always(posedge clk_in or posedge reset) begin
;
;


reset不太对,我重新改了下reset信号
`timescale 1ns/100ps
include "./half_clk.vo";
`define clk_cycle 50
module top;
  reg clk,reset;
  wire clk_out;
  always #`clk_cycle clk=~clk;
  initial
    begin
      clk=0;
      reset=0;
      #10 reset=1;
      #110 reset=0;
      #10000 $stop;
    end
  half_clk m0(.reset(reset),.clk_in(clk),.clk_out(clk_out));
endmodule

不过还是不对

本帖子中包含更多资源

您需要 登录 才可以下载或查看,没有帐号?注册

x

出0入0汤圆

发表于 2014-1-2 18:18:16 | 显示全部楼层
   if(reset)
你确定不是这样的if(!rest)??

出0入0汤圆

发表于 2014-1-3 11:01:07 | 显示全部楼层
你的时间单位不对,仿真结果看CLK周期100ps,按你的意思应该是100ns才对

出0入0汤圆

 楼主| 发表于 2014-1-5 23:24:43 | 显示全部楼层
aikimi7 发表于 2014-1-3 11:01
你的时间单位不对,仿真结果看CLK周期100ps,按你的意思应该是100ns才对

就是不明白为什么复位信号不能让clk_out复位呢?波形也完全不对啊

出0入0汤圆

 楼主| 发表于 2014-1-5 23:25:42 | 显示全部楼层
justin_n 发表于 2014-1-2 18:18
if(reset)
你确定不是这样的if(!rest)??

是写错了,不过我在testbench文件中改了

出0入0汤圆

发表于 2014-1-6 09:38:37 来自手机 | 显示全部楼层
是不是reset没有写到敏感列表里面?
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:14

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表