搜索
bottom↓
回复: 2

求大神指正!!!!

[复制链接]

出0入0汤圆

发表于 2012-10-26 13:27:24 | 显示全部楼层 |阅读模式
大家请看一下我的对时钟信号clkc和输入c的判断,判断c放置于判断clkc之前,这样将程序烧入芯片中会有什么问题么?
ps:在modelsim中仿真波形都没问题

--****************************************************************************
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
entity filter is
        port(        delayc,c,clkc: in std_logic;
                           fc : out std_logic);
end entity;
architecture behav of filter  is
    constant N:integer:=79;
                signal countb1,countb2: integer range 0 to N+1;
                signal fc1:std_logic;
begin
        process(delayc,clkc,c)
                begin
                        if(delayc ='0')then
                                countb1<=0;       
                        elsif(c='1') then
                                        if(rising_edge(clkc))then
                                                if(countb1<=N) then
                                                  countb1<=countb1+1;
                                                else
                                                  countb1<=countb1;
                                                end if;
                                        end if;
                   else
                  countb1<=0;               
                        end if;
                end process;
       

        process(delayc,clkc,c)
                begin
                        if(delayc ='0')then
                                countb2<=0;       
                        elsif(c='0') then
                                        if(rising_edge(clkc))then
                                                if(countb2<=N) then
                                                  countb2<=countb2+1;
                                                else
                                                  countb2<=countb2;
                                                end if;
                                        end if;
                   else
                  countb2<=0;               
                        end if;
        end process;
               
        process(delayc,clkc)
                begin
                        if(delayc ='0')then       
                                fc1<='0';
                                fc<='0';
                        elsif (rising_edge(clkc)) then
                                if(countb1>=N) then
                                        fc1<='1';
                                elsif(countb2>=N) then
                                        fc1<='0';
                                else
                                        fc1<=fc1;
                                end if;
                        end if;
                                fc<=fc1;       
        end process;

end behav;

--****************************************************************************

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2012-10-27 07:45:53 | 显示全部楼层
相当门控时钟,当CLK不用作其他与本模块相关联模块,没有问题。不过为何要别出心裁?本来CLK是来自全局,你现在串入逻辑。

出0入0汤圆

 楼主| 发表于 2012-11-6 17:14:56 | 显示全部楼层
NJ8888 发表于 2012-10-27 07:45
相当门控时钟,当CLK不用作其他与本模块相关联模块,没有问题。不过为何要别出心裁?本来CLK是来自全局,你 ...

之所以这样是因为在modelsim中仿真修改前的程序时,总会出现这样的情况:输入跳变与clk的上升沿很靠近时会引起输出发生红色的未知。所以迫不得已这样做了
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:11

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表