搜索
bottom↓
回复: 8

有些IO引脚不能配置,编译报错

[复制链接]

出0入0汤圆

发表于 2011-11-7 22:52:52 | 显示全部楼层 |阅读模式
有时候配置引脚的时候,经常会报错,说那个引脚不能用什么什么的,查了一下好像是有些引脚电平约束什么的,不太懂,不知道该怎么弄,请各位帮帮忙,谢谢!!!

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-11-8 20:19:52 | 显示全部楼层
好像我RP不行啊,没人帮帮我吗

出0入0汤圆

发表于 2011-11-8 20:21:51 | 显示全部楼层
回复【1楼】sfp208  
好像我rp不行啊,没人帮帮我吗

-----------------------------------------------------------------------

错误信息是什么,平台是什么,器件是什么。问题都不描述清楚怎么帮你。

出0入0汤圆

发表于 2011-11-8 20:32:07 | 显示全部楼层
同楼上

出0入0汤圆

发表于 2011-11-9 21:46:09 | 显示全部楼层
FPGA 有的引脚是programing pin  如NCEO, 其他被系统定义的FPGA引脚也不可以用,
可以在settings-device-pin options-dual_purpose pin 里面更改

出0入0汤圆

 楼主| 发表于 2011-11-9 22:42:52 | 显示全部楼层
回复【2楼】g47603690  
-----------------------------------------------------------------------

哦,我错了,器件是ep3c25,QUARTUS II上的,错误提示如下:

Error: Can't place multiple pins assigned to pin location Pin_12 (IOPAD_X0_Y27_N7)
        Info: Pin ASDO is assigned to pin location Pin_12 (IOPAD_X0_Y27_N7)
        Info: Pin ~ALTERA_ASDO_DATA1~ is assigned to pin location Pin_12 (IOPAD_X0_Y27_N7)
Error: Can't place multiple pins assigned to pin location Pin_14 (IOPAD_X0_Y25_N0)
        Info: Pin nCSO is assigned to pin location Pin_14 (IOPAD_X0_Y25_N0)
        Info: Pin ~ALTERA_FLASH_nCE_nCSO~ is assigned to pin location Pin_14 (IOPAD_X0_Y25_N0)
Error: Can't place multiple pins assigned to pin location Pin_23 (IOPAD_X0_Y22_N0)
        Info: Pin DCLK is assigned to pin location Pin_23 (IOPAD_X0_Y22_N0)
        Info: Pin ~ALTERA_DCLK~ is assigned to pin location Pin_23 (IOPAD_X0_Y22_N0)
Error: Can't place multiple pins assigned to pin location Pin_24 (IOPAD_X0_Y22_N7)
        Info: Pin DATA0 is assigned to pin location Pin_24 (IOPAD_X0_Y22_N7)
        Info: Pin ~ALTERA_DATA0~ is assigned to pin location Pin_24 (IOPAD_X0_Y22_N7)


请大侠帮帮忙

出0入0汤圆

发表于 2011-11-10 09:06:37 | 显示全部楼层
回复【5楼】sfp208
回复【2楼】g47603690   
-----------------------------------------------------------------------
哦,我错了,器件是ep3c25,quartus ii上的,错误提示如下:
error: can't place multiple pins assigned to pin location pin_12 (iopad_x0_y27_n7)
info: pin asdo is assigned to pin location pin_12 (iopad_x0_y27_n7)
info: pin ~altera_asdo_data1~ is assigned to pin location pin_12 (iopad_x0_y27_n7)
error: can't place multiple pins ......
-----------------------------------------------------------------------

http://www.alteraforum.com/forum/showthread.php?t=2680

出0入0汤圆

 楼主| 发表于 2011-11-10 21:00:44 | 显示全部楼层
回复【6楼】g47603690  
-----------------------------------------------------------------------

谢谢

出0入0汤圆

发表于 2011-11-12 12:41:39 | 显示全部楼层
这些引脚是默认在配置FPGA时已使用的,应该禁止这些引脚的使用,

dual pin (原文件名:FPGA_pin.gif)
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:24

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表