搜索
bottom↓
回复: 3

求助:这样的代码可以这样写嘛??

[复制链接]

出0入0汤圆

发表于 2011-11-7 12:33:23 | 显示全部楼层 |阅读模式
module top2526
(
clk,rst,
rs,rw,en,
date
);
input clk;
input rst;

output en;
output rs;
output rw;

output[7:0] date;
///////////////////////////////

//状态转换部分
reg[1:0] i;
//reg Lrs;
//reg Lrw;
//reg Len;
reg[7:0] Ldate;


always @ (negedge clk or negedge rst)
  if(!rst)
      begin
          i<=1'b0;
          Ldate<=8'b0;
      end
    else
      case (i)
            2'd0:
              begin
                 inint aa                 
                      (
                        .clk(clk),
                        .rst(rst),
                        .outdate(Ldate),
                        .rs(rs),
                        .en(en),
                        .rw(rw)
                        );
                  i<=i+1'b1;
              end
            2'd1:
              begin
                     com ab
                        (.clk(clk),
                         .rst(rst),
                         .indate(8'h80),
                         .rs(rs),
                         .en(en),
                         .rw(rw),
                         .outdate(Ldate)
                         );
                     date  ac
                        (.clk(clk),
                         .rst(rst),
                         .indate(8'h78),
                         .rs(rs),
                         .en(en),
                         .rw(rw),
                         .outdate(Ldate)
                            );
              end

        endcase

/////////////////////////////
        assign  date=Ldate;
endmodule

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-11-7 12:58:56 | 显示全部楼层
模块例化应该不可以写进begin end 语句中去吧

出0入0汤圆

发表于 2011-11-7 17:58:44 | 显示全部楼层
不能使用 module 在 always 裏,
請改使用 function 或 task
請參考以下:
http://www.asic-world.com/verilog/task_func1.html
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:21

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表