搜索
bottom↓
回复: 3

VHDL的一道简单程序 怎么语法错了??

[复制链接]

出0入0汤圆

发表于 2011-10-11 12:51:18 | 显示全部楼层 |阅读模式
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;

-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;

-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;

entity sa is
    Port ( in1 : in  STD_LOGIC:='1';
           in2 : in  STD_LOGIC:='1';
                          clk:in std_logic;
           out2 : out  STD_LOGIC);
end sa;

architecture w of sa is

begin
process(clk)
begin
if (clk'event and clk='1') then
out2<=in1;
else
out2<='0';
end if;
end process;

end w;

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

 楼主| 发表于 2011-10-11 12:52:48 | 显示全部楼层
显示的 错误是 :ERROR:Xst:827 - "F:/xilinx project/tttt/sa.vhd" line 44: Signal out2 cannot be synthesized, bad synchronous description. The description style you are using to describe a synchronous element (register, memory, etc.) is not supported in the current software release.

出0入4汤圆

发表于 2011-10-27 16:49:06 | 显示全部楼层
out2是触发器,时钟上升沿时采样in1。 else的分支没法实现。

个人学习的体会,vhdl/verilog都是描述硬件电路结构的语言,每种结构的语法模板就那么几种。
很多貌似c语言的流程描述写法,都无法综合成电路实现。

不要把描述结构的语言当做c那样的描述流程的语言来理解。

出0入0汤圆

发表于 2012-2-15 14:19:42 | 显示全部楼层
学习了。。。。
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:17

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表