搜索
bottom↓
回复: 6

新手想请问做串口通信的时候,可以怎么用Modelsim仿真测试?

[复制链接]

出0入0汤圆

发表于 2011-2-20 14:29:51 | 显示全部楼层 |阅读模式
如题,  写testbench 么 ?
   好心人发个例子看看 谢谢了

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2011-2-20 15:38:36 | 显示全部楼层
软件调试就是用testbench了

出0入0汤圆

 楼主| 发表于 2011-2-20 16:52:40 | 显示全部楼层
testbench懂写一点     就是在写这种数据传输类型就不知道咋下手了

出0入0汤圆

发表于 2012-5-2 21:19:54 | 显示全部楼层
我也很想知道,学习中!

出0入0汤圆

发表于 2012-5-2 21:48:53 | 显示全部楼层
按照固定波特率对应的时间间隔,改变txd电平
论坛上有几个很好的 uart模块啊,你看看就明白了
=-----------------
如果是非综合的,纯做验证的,更加简单,直接指定延时值,再按data[7:0]逐次改变电平

========
如果你不明白上面所说的,那你最好多练几天verilog语法小模块

出0入0汤圆

发表于 2012-5-7 16:45:52 | 显示全部楼层
给它一个常量试试。。。

出0入0汤圆

发表于 2012-5-7 21:55:56 | 显示全部楼层
我刚做了个,仿真时我是利用串口数据的格式,通过延时进行赋值,比较结果的。这也只能验证功能的正确与否,下面是我的利用FPGA模拟串口的例子。
数据格式,两位高电平起始位(无线光通信上的应用),八位数据位,一位校验位(可选),一位高电平的停止位,无数据时为低电平。text bench 如下:
注意仿真时钟与发送速率的关系哦,我的系统时钟是50M,发送速率是1M。initial      
还请批评指正~~~~                                         
begin                                                  
rst_n = 0;
#10;
rst_n = 1;                 
end  
initial
begin                                                  
        repeat(10000)                                                
                begin   
                        re = 0;
                        #200;
                        re = 1;
                        #20 ;
                        re = 1;
                        #20 ;
                        // the data
                        re = 1;
                        #20 ;
                        re = 0;
                        #20 ;
                        re = 1;
                        #20 ;
                        re = 0;
                        #20 ;
                        re = 1;
                        #20 ;
                        re = 0;
                        #20 ;
                        re = 1;
                        #20 ;
                        re = 1;
                        #20 ;
                        //======
                        re = 0;
                        #20 ;
                        re = 1;
                        #20 ;                                                                                          
                end
$stop;   //重复发送上述数据串10000次后停止仿真
end
initial
   sys_clk = 0;
always
        #1 sys_clk = ~sys_clk;   

回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 09:19

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表