|
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity jicunqi is
--generic (n : natural := 32);
port (
Din : in std_logic_vector(0 to 7);
Qaddr : in std_logic_vector(7 downto 0);
Clock,Enable : in std_logic;
Xdir : out std_logic_vector(7 downto 0);
Xdata : out std_logic_vector(23 downto 0));
end entity jicunqi;
architecture behavioural of jicunqi is
begin
process (Clock,Enable) is
begin
if rising_edge(Clock) then
if (Enable = '1')then
if (Qaddr = 32) then
Xdir <= (others => '0');
Xdata <= (others => '0');
end if;
-------------------------------------------
if (Qaddr = 1) then
Xdir(7 downto 0) <= Din;
end if;
------------------------------------
if (Qaddr = 2) then
Xdata(23 downto 16) <= Din;
end if;
if (Qaddr = 3) then
Xdata(15 downto 8) <= Din;
end if;
if (Qaddr = 4) then
Xdata(7 downto 0) <= Din;
end if;
end if;
end if;
end process ;
end;
我写的这几行代码
大概意思就是Enable=1,Clock下降沿判断Qaddr值 将数据Din 寄存并输出,
请问这样写有没有隐患? |
阿莫论坛20周年了!感谢大家的支持与爱护!!
你熬了10碗粥,别人一桶水倒进去,淘走90碗,剩下10碗给你,你看似没亏,其实你那10碗已经没有之前的裹腹了,人家的一桶水换90碗,继续卖。说白了,通货膨胀就是,你的钱是挣来的,他的钱是印来的,掺和在一起,你的钱就贬值了。
|