搜索
bottom↓
回复: 8

基于锁相环技术的精密移相器的实现,欢迎交流

[复制链接]

出0入0汤圆

发表于 2010-2-9 16:16:39 | 显示全部楼层 |阅读模式
如题,还只是idea,初步想法是利用PLL倍频到需要频率,再采用外部可设置寄存器,改变计数器的值来使能分频器,象限控制和锁存控制输出需要的相移信号。基本上倍频足够高,就可以获得精确的相移控制。
可是本人写代码水平自己都不敢恭维,边学边写那种,不过如果仿真通过,也准备帖出来献丑,也欢迎感兴趣的一起交流。

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2010-2-9 20:36:57 | 显示全部楼层
cordic算法

出0入0汤圆

 楼主| 发表于 2010-2-10 19:51:33 | 显示全部楼层
http://www.fpga-guru.com/files/crdcsrvy.pdf

找资料看了一下,没太明白,占用资源怎么样?
假设要输出1MHZ 可以3度/BIT相移控制.

出0入0汤圆

发表于 2010-2-10 19:59:53 | 显示全部楼层
记号

出0入0汤圆

 楼主| 发表于 2010-3-10 19:31:51 | 显示全部楼层
回复【1楼】yuhang
-----------------------------------------------------------------------

cordic算法,大致编译一下,占用资源吓人,一下子几百个LE就没了.
唉,先整一个简单的将就够用,可以22.5度/BIT移相.闲话少说,先帖代码,兑现承诺.


module rclk (bclk,clr,in,rclk);
input bclk,clr;
input[3:0] in;
output rclk;
//wire clock;
reg rclk_cnt;
reg[3:0] cout;


always @(posedge bclk or negedge clr)
begin
   if(!clr)
     begin
     cout <= in;     
     rclk_cnt <= 1;
     end
   // else if (preset == 1)
   // cout <=in;
    else
      begin
      if(cout == 0)
        begin
       // cout <= in;
        rclk_cnt <=0;      
        end
      else
        begin
        cout <=cout-1;
        rclk_cnt<=1;
        end
      
                     
      end
end
clk_div16 s1 (bclk,clr,rclk_cnt,rclk);
//assign rclk = clock;
endmodule

module clk_div16 (clk_in,clr,enable,clk_out);
input clk_in,clr,enable;
output clk_out;

reg clk_out;
reg[2:0] cnt;

always @(posedge clk_in or negedge clr or posedge enable)
begin
  if (!clr)
  clk_out <= 0;
  else if (enable)
     begin
     cnt <=7;
     clk_out <= 1;
     end
  else
   begin
     if (cnt == 0)
       begin
       cnt <= 7;
       clk_out <= ~clk_out;
       end
     else
       begin
       cnt <= cnt-1;
       clk_out <= clk_out;
       end
    end
end
endmodule

出0入0汤圆

 楼主| 发表于 2010-3-10 19:47:35 | 显示全部楼层
帖个图

(原文件名:sim.JPG)

出0入0汤圆

发表于 2010-3-18 16:17:42 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-3-18 17:31:43 | 显示全部楼层
Xilinx的DCM可以做移相(甚至是runtime的),A家应该也有类似的东西吧。

出0入0汤圆

 楼主| 发表于 2010-3-19 11:52:56 | 显示全部楼层
有是有,考虑到成本,用CPLD没有内嵌PLL的芯片做EPM240或570
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 19:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表