搜索
bottom↓
回复: 26

液晶 16032 (兼容12864) verilog 控制代码

[复制链接]

出0入0汤圆

发表于 2008-8-18 17:05:10 | 显示全部楼层 |阅读模式

(原文件名:图片 001.jpg)
module LCD(clk, rs, rw, en,dat);

input clk;


output [7:0] dat;
output  rs,rw,en;
//tri en;
reg e;
reg [7:0] dat;
reg rs;  
reg  [15:0] counter;
reg [3:0] current,next;
reg clkr;
reg [1:0] cnt;
parameter  set0=4'h0;
parameter  set1=4'h1;
parameter  set2=4'h2;
parameter  set3=4'h3;
parameter  dat0=4'h4;
parameter  dat1=4'h5;
parameter  dat2=4'h6;
parameter  dat3=4'h7;
parameter  dat4=4'h8;
parameter  dat5=4'h9;

parameter  nul=4'ha;


always @(posedge clk)         //da de shi zhong pinlv
begin
  counter=counter+1;
  if(counter==16'h000f)
  clkr=~clkr;
end

always @(posedge clkr)
begin

current=next;

  case(current)
    set0:   begin  rs<=0; dat<=8'h30; next<=set1; end
    set1:   begin  rs<=0; dat<=8'h0c; next<=set2; end
    set2:   begin  rs<=0; dat<=8'h6; next<=set3; end
    set3:   begin  rs<=0; dat<=8'h1; next<=dat0; end
    dat0:   begin  rs<=1; dat<=8'hb0; next<=dat1; end
    dat1:   begin  rs<=1; dat<=8'ha1; next<=dat2; end
    dat2:   begin  rs<=1; dat<="F"; next<=dat3; end
    dat3:   begin  rs<=1; dat<="P"; next<=dat4; end
    dat4:   begin  rs<=1; dat<="G"; next<=dat5; end
    dat5:   begin  rs<=1; dat<="A"; next<=nul; end
     nul:   begin rs<=0;  dat<=8'h00;                    //这段保证前段显示部分至少执行一遍 然后 把液晶的E 脚 拉高
              if(cnt!=2'h2)
                  begin
                       e<=0;next<=set0;cnt<=cnt+1;
                  end
                   else
                     begin next<=nul; e<=1;
                    end   
              end
   default:   next=set0;
    endcase
end


assign en=clkr|e;
assign rw=0;
endmodule



我在网上找了很久没有发现有价值的东西,所以自己花了点时间写了一个 很简单
献给大家  多提意见共同进步

不只为什么 最后的控制en输出的时候与门不行,后来换成或门可以了

点击此处下载 ourdev_382757.rar(文件大小:195K) (原文件名:LCD.rar)

阿莫论坛20周年了!感谢大家的支持与爱护!!

知道什么是神吗?其实神本来也是人,只不过神做了人做不到的事情 所以才成了神。 (头文字D, 杜汶泽)

出0入0汤圆

发表于 2008-12-11 09:20:07 | 显示全部楼层
ku

出0入0汤圆

发表于 2009-2-22 11:31:18 | 显示全部楼层
顶一下!!

出0入143汤圆

发表于 2009-8-1 05:13:33 | 显示全部楼层
谢谢分享!

出0入0汤圆

发表于 2009-8-3 20:48:13 | 显示全部楼层
学习一下

出0入0汤圆

发表于 2009-8-20 15:38:46 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-1-4 22:13:38 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-1-13 18:02:50 | 显示全部楼层
顶一下吧 看看!
不过我只用VHDL Verilog看了点。

出675入8汤圆

发表于 2010-1-14 09:42:27 | 显示全部楼层
mark ,改天来搞搞

出0入0汤圆

发表于 2010-1-14 14:06:44 | 显示全部楼层
好,推崇DIY。

出0入0汤圆

发表于 2010-1-15 15:25:32 | 显示全部楼层
thanks

出0入0汤圆

发表于 2010-1-30 15:26:03 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-1-30 21:43:59 | 显示全部楼层
弓虽。
已验证。@1602 / @12864(st7920)

出0入0汤圆

发表于 2010-1-31 02:31:35 | 显示全部楼层
学习

出0入0汤圆

发表于 2010-2-2 18:35:01 | 显示全部楼层
学习

出0入0汤圆

发表于 2010-2-2 18:40:45 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-3-10 21:02:08 | 显示全部楼层
学习,谢谢

出0入0汤圆

发表于 2010-4-30 00:25:45 | 显示全部楼层
请教楼主 为什么我把你的程序COPY过来烧进去  12864一点反应都没有呢?望楼主不吝赐教!

出0入0汤圆

发表于 2010-5-3 11:55:33 | 显示全部楼层
学习,借鉴

出0入0汤圆

发表于 2010-10-16 19:39:36 | 显示全部楼层
MARK

出0入0汤圆

发表于 2010-10-16 19:57:28 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-10-16 21:28:57 | 显示全部楼层
好的  在这儿学习了!!!

出0入0汤圆

发表于 2010-10-16 23:22:26 | 显示全部楼层
mark

出0入0汤圆

发表于 2010-12-25 10:34:25 | 显示全部楼层
1602/12864  顶

出0入0汤圆

发表于 2011-3-17 17:10:02 | 显示全部楼层
mark

出0入0汤圆

发表于 2011-4-23 22:07:17 | 显示全部楼层
谢谢

出0入0汤圆

发表于 2011-11-21 13:07:40 | 显示全部楼层
mark!
回帖提示: 反政府言论将被立即封锁ID 在按“提交”前,请自问一下:我这样表达会给举报吗,会给自己惹麻烦吗? 另外:尽量不要使用Mark、顶等没有意义的回复。不得大量使用大字体和彩色字。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。
您需要登录后才可以回帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:32

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

快速回复 返回顶部 返回列表