搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10379|排名: 35 

作者 回复/查看 最后发表
请教关于 dsp builder 9.1 的破_解,望大侠指教 zhanshenguilai 2011-2-13 02497 zhanshenguilai 2011-2-13 09:34
[转]消息称微软将推ARM版Windows系统 dspsharc 2010-12-22 144636 Sc0pin 2011-2-12 15:48
新手请教一个程序 qjmxxgui 2011-2-11 85198 songtao0728 2011-2-12 10:53
fpga 输入引脚悬空 allah0824 2011-2-11 88508 songtao0728 2011-2-12 10:51
刚学的菜鸟问大家一个问题 qjmxxgui 2011-2-10 42205 qjmxxgui 2011-2-10 20:47
等时间来验证吧,还有2年——胡伟武:龙芯5年内改变全球CPU格局 cpumaker 2011-2-10 225036 learner123 2011-2-10 17:10
请教各位大侠,Bitstream与User data space什么区别? master0722 2011-2-3 172932 master0722 2011-2-8 20:48
大家好,modelsimaltera仿真怎么没有输出波形,时钟和复位信号都有!!! kerenyang 2011-2-7 54367 alteraFPGA 2011-2-8 18:38
ISE中仿真类型的问题 cwfboy 2011-1-29 12792 linuxpro 2011-2-8 11:51
在时钟的上升沿和下降沿都对触发always块好不好? duck8880 2011-2-6 128163 suipeng70 2011-2-7 14:50
nios2 run时出现下述情况是怎么回事?请教大侠们 zhangxin0804 2011-2-6 02110 zhangxin0804 2011-2-6 18:37
portmanager.dll一直破_解不了,求助啊!! RedYang211 2011-1-30 13136 RedYang211 2011-2-5 19:59
求ssram读写控制资料 jemas963852741 2010-4-27 33147 nono2000 2011-2-2 03:02
AltiumDesignerSummer9和Quartus II 9.1联合设计问题? du520xi 2011-1-30 12400 du520xi 2011-1-30 21:35
有了解这种X86内核SOC的吗?(不是PSoC) trustgod 2011-1-30 32770 alan8918 2011-1-30 16:34
FPGA能跑操作系统吗 trustgod 2011-1-29 34270 cwfboy 2011-1-29 23:45
uart程序停止位问题 hzr0071 2011-1-29 14173 hzr0071 2011-1-29 12:47
verilog 语法问题 dragonyoo 2011-1-29 32303 cwfboy 2011-1-29 11:27
没人发?altera发布28nm系列,包括cyclone v cfqxdgr 2011-1-27 53113 dragonyoo 2011-1-29 11:17
用FPGA通过verilog语言描述一个数字钟怎么这么痛苦。。。。。(发泄一下) zouyf12 2011-1-23 113954 conandllu 2011-1-29 10:58
神奇的FPGA! 40130064 2011-1-10 154357 neteagle72 2011-1-28 13:03
数码管动态扫描。。。。两个数码管有叠加。。。师傅们帮帮忙。。。 hzr0071 2011-1-26 72973 liwboy 2011-1-27 21:24
求FPGA串口通信的接受程序的解答 flashman911 2009-10-8 43113 liwboy 2011-1-27 21:20
高速A/D采样 控制器选型 gg_sun123 2011-1-25 12352 caizijian 2011-1-27 18:09
移植在DE3的SDCardWavPlayer Karment 2011-1-9 22403 ybx520 2011-1-27 09:38
用FPGA 使用Verilog HDL描述的一个钟--初步完成~ 拿来晒一晒(补充效果图片~) zouyf12 2011-1-25 73147 zouyf12 2011-1-27 00:04
帮忙在pudn上下载一个文件。谢谢! happy_rain 2011-1-26 22326 zgq800712 2011-1-26 19:59
新手求救,要命啊。。。。。 hzr0071 2011-1-25 52590 mcsky 2011-1-26 17:27
请教一个在线重新加载Spartan-3AN片子的问题 master0722 2011-1-24 62849 ngzhang 2011-1-25 23:29
关于FPGA和stm32间的通信 laokongzhuang 2011-1-25 178469 laokongzhuang 2011-1-25 20:06
关于NIOSII中的JTAG_UART的问题 gd498650215 2010-4-5 13616 d-link 2011-1-25 18:48
如何判断我的CPLD是好的! shouzhou 2011-1-25 12269 rifjft 2011-1-25 16:19
大家来讨论讨论如何对FPGA进行调试 zf12862177 2011-1-25 62653 syuanwang 2011-1-25 13:24
请教EPM7128SLC84—10N芯片问题 muzheyun 2010-12-14 83064 unicontrol 2011-1-25 09:22
求救,着急啊!!双通道采集程序设计 suiciki 2011-1-24 01888 suiciki 2011-1-24 17:04
为什么用modelsimSE 6.5仿真添加波形时,只有输出信号的波形 loveBT 2011-1-24 01806 loveBT 2011-1-24 15:24
用flash programme烧写epcs64时发生的奇怪问题,请高人指点! suiping 2011-1-16 62984 suiping 2011-1-24 07:38
EDK里的MPMC Karment 2010-12-26 22905 Karment 2011-1-23 19:13
Nios II 启动怪现象 cicnx 2011-1-19 53053 cicnx 2011-1-23 17:39
刚开始用ISE的一个问题 cwfboy 2011-1-22 42423 cwfboy 2011-1-23 10:40
毕设7选一 大哥大姐帮帮忙 xiaoyingnan 2011-1-4 113120 songshanxiaozi 2011-1-22 19:52
有偿求助Verilog实现 uolyu 2010-12-31 93096 linuxpro 2011-1-22 18:36
请问高手门 CPLD能进行RTL门极仿真不?? whj19860123 2011-1-22 22078 linuxpro 2011-1-22 17:24
h2feo4 无机酸 请进,有个所谓“归零码”用VHDL识别的问题解决不了,实在没思路了。 lukeunderwood 2011-1-20 83414 lukeunderwood 2011-1-22 15:11
我是菜鸟,FPGA各种模块怎么样连接 zhuyitou 2011-1-21 12206 linuxpro 2011-1-21 18:49
DSP builder有破_解吗?如何破_解? ssaweee 2011-1-21 22172 ssaweee 2011-1-21 13:56
求助:双口ram时序图怎么读? chezhulin 2011-1-21 05307 chezhulin 2011-1-21 09:18
FPGA USB Blaster制作求助 gaohaosky 2011-1-20 02261 gaohaosky 2011-1-20 13:36
说个调试nios时遇到的灵异事件,sdram可能有能力保存一会(大约5分钟)数据 12fen 2011-1-19 73617 NJ8888 2011-1-19 20:57
国内有xilinx fpga(Sp3/Sp6) + ARM9的开发板吗? yuhe 2010-10-19 295386 huistu 2011-1-19 17:35
【求助】ov7670+tft9325采集显示的图像(补充图片) hclmcu 2011-1-17 52878 hunter000000 2011-1-19 00:01
不知为何用nios ii ide的flash programmer下载配置和软件后,每次上电都要重新下载sof harihin 2010-11-11 32725 hunter000000 2011-1-18 22:24
大量购买RTL8306G芯片,有货的朋友速与本人联系,QQ:544199332 shui6556102 2011-1-18 02075 shui6556102 2011-1-18 16:13
ZRtech教程--HDL基础语法篇(VHDL篇)【语法工具书】 henhen2002 2010-7-3 94006 changqiong0606 2011-1-16 20:36
ov7670 vsync引脚输出1.2v,不能触发nios中断 hclmcu 2011-1-14 54186 wangguanfu 2011-1-16 09:20
跪求FPGA中往液晶屏写图片的程序 hardship_life 2011-1-15 12177 zgq800712 2011-1-16 00:36
Nios II 9.1 Software Build Tools for Eclipse(EDS)在哪里下载? loveBT 2011-1-15 13079 lanqilove 2011-1-16 00:29
请问FPGA的通用数字I/O的传输速度能有多快?? YFM_LMM 2011-1-15 65848 YFM_LMM 2011-1-15 23:32
在CNKI上下的关于NIOS的文章,一直没有用上,希望对大家有用 shjw 2011-1-10 32329 loveBT 2011-1-15 10:26
装了个modelsimSE 6.6C 为什么在quartus里面调用它,它多要编译一大推的库文件。 zgq800712 2011-1-14 04467 zgq800712 2011-1-14 13:28
大家帮忙分析分析 我做的usb-blaster 为什么不能工作 chenguanglu 2011-1-13 02677 chenguanglu 2011-1-13 20:28
红色飓风的VIP会员,帮忙下个东西 liu_xf 2011-1-12 32743 liu_xf 2011-1-13 10:51
急,大侠,求解一道数电题 AlongChare 2011-1-12 22398 AlongChare 2011-1-12 23:24
求ISE10.1 sp3补丁文件 stevean 2011-1-12 02878 stevean 2011-1-12 21:54
请教DE3音频解码芯片 Karment 2011-1-8 22568 Karment 2011-1-12 10:05
怎么将FPGA的程序放入FLASH中通过单片机PS方式进行配置? denike 2011-1-11 12277 zf12862177 2011-1-11 16:27
请问是否有 megacore ip library 下载? nono2000 2010-11-12 33425 guolh 2011-1-11 09:02
在FPGA中用Verilog写点液晶屏的程序可用循环语句写吗? hardship_life 2011-1-9 53072 caizijian 2011-1-10 21:37
做 电子研发 的职业规划,大家说说 yxm433 2011-1-9 62765 huangwenfu308 2011-1-10 19:23
DSP builder9的兼容性问题 cwfboy 2011-1-10 01962 cwfboy 2011-1-10 14:17
PS2接口的疑惑?谢谢各位前辈。。 zhangxin0804 2010-12-31 112561 zgq800712 2011-1-9 21:40
nios中读串口的奇怪问题,请教指点,非常感谢! xiaostream 2010-11-22 22057 myfriend6042 2011-1-9 21:08
求助~latticeCPLD开发的一个小问题 chen_ioo 2011-1-8 22369 leafing 2011-1-9 18:40
请教下NIOS 8。1不能下载和硬件调试的问题(急!!) 40130064 2010-12-13 54656 myfriend6042 2011-1-9 17:21
关于二维数组编译为什么会占用那么多逻辑单元 kevinleez 2011-1-8 52681 cfqxdgr 2011-1-9 14:30
PAL视频图像问题 yongliw 2011-1-7 12317 caizijian 2011-1-9 14:00
[新书下载].Handbook of FPGA Design Security yuphone 2010-11-9 63041 h2feo4 2011-1-8 22:35
quartus9.1 sp1安装为什么检测不到quartus9.1啊? embeddev 2011-1-6 32536 embeddev 2011-1-8 21:08
Quartus ii打开Verilog编辑器只能显示半截字。求助。 zhuyitou 2011-1-8 12577 yuphone 2011-1-8 20:43
谈谈我对阻塞,非阻塞赋值的理解(一些新手容易混淆的问题) gc56198 2010-12-26 187020 zhaoghsea 2011-1-8 16:46
试问下ise12.1的到2010.6到期的**现在是不是不能用了? fenglouto 2011-1-8 01820 fenglouto 2011-1-8 15:29
一个电子相关的MATLAB QQ群 126511743 dsp2004 2010-11-20 22539 zhuyitou 2011-1-8 15:01
pci card Tomas_Yung 2011-1-7 42594 Tomas_Yung 2011-1-8 11:36
请教一个800*480时序的问题 leeons 2011-1-5 62879 leeons 2011-1-8 10:30
【求助】请教各位高手 我该如何设置这个AD9945 谢谢各位啦!!! wangqinfeng 2010-11-18 63190 shenshunxiao 2011-1-7 17:38
请大家帮我看下这个跑马灯的代码,怎么都得不到结果。verilog。 yvhksovo 2009-11-9 53244 joavedin 2011-1-7 17:23
sdram时钟频率可调吗? yongliw 2011-1-7 33098 yongliw 2011-1-7 16:38
ISE软件运行程序有单步执行吗? yongliw 2011-1-7 32226 yongliw 2011-1-7 14:11
4*4矩阵键盘的verilog程序与独立按键的消抖程序,希望做一点点贡献,准备继续深入学习.. STM_FPGA 2010-8-3 59666 hbchf 2011-1-7 13:42
出fpga开发板 naturc 2011-1-6 163723 akong 2011-1-7 12:07
verilog 代码 访真中出现未知XXXX c65193145 2011-1-5 52735 c65193145 2011-1-6 08:12
quartus顶层文件的问题 huangjian1344 2010-12-14 23124 caizijian 2011-1-5 14:20
拿出来晒晒,有图! Tomas_Yung 2011-1-3 153791 hjjft 2011-1-4 23:56
仿真过程中信号显示问题,求助。。。。。 insomnia1107 2011-1-4 22134 insomnia1107 2011-1-4 19:41
modelsim 6.5SE modelsim.ini文件替换 Eworm001 2011-1-4 02369 Eworm001 2011-1-4 10:01
Modelsim 6.x中Signal看不到输出输入信号的解决办法(转载) jj.deng 2010-10-27 12380 Eworm001 2011-1-4 01:29
现在哪家公司的FPGA用的最多,我想学习学习FPGA wzhenhua 2010-12-3 144176 caozhu 2011-1-3 23:15
cpld按键问题 qpwoeihf 2011-1-3 22168 qpwoeihf 2011-1-3 22:08
ISE12.2问题 Karment 2011-1-3 02630 Karment 2011-1-3 18:22
哪位有8253的IP核? 281229961 2011-1-3 02009 281229961 2011-1-3 16:31
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 18:53

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块