搜索
收藏本版 (108) |订阅

FPGA 今日: 1 |主题: 10376|排名: 35 

作者 回复/查看 最后发表
一个奇怪的问题期待高手解答! 40130064 2011-5-17 22152 yuphone 2011-5-17 12:35
MD终于知道怎么在板上开各种洞了,有图 cxlspp 2011-5-17 32713 skyant 2011-5-17 12:34
无解的错误 B-Walker 2011-5-17 01963 B-Walker 2011-5-17 10:56
求ProASIC3 StartKit开发板的实验例程 hongyancl 2011-5-11 43154 hongyancl 2011-5-17 08:38
MAX II能跑出多高频率啊 sn2101 2011-5-16 72363 ssaweee 2011-5-17 08:37
一份FPGA资料,希望大家喜欢 lanliang714 2011-5-13 12280 lanliang714 2011-5-16 18:14
请大家帮忙看看是不是频率太高了?无法跳出idle状态。 xcy8011 2011-5-16 01785 xcy8011 2011-5-16 15:45
下面两种检测SCK上升沿的方式各有什么优缺点吗 pp10101 2011-1-26 52804 pafvell 2011-5-16 15:32
请教一下两种电平标准的区别,SSTL与HSTL eggcar 2011-1-3 912306 pafvell 2011-5-16 15:28
Altera IP cjfwindy 2009-12-4 164753 leon111888 2011-5-16 15:17
VGA虚影该怎么解决?有图 kofkyok 2011-5-11 73454 cc2666 2011-5-16 14:11
altera DE2-70开发板上的SRAM lslong 2011-5-16 23051 d-link 2011-5-16 12:58
如何使用CPLD本身50MHZ的时钟产生一个3MHZ的分时钟? willamchen 2011-1-28 63539 pafvell 2011-5-16 10:37
悲剧了,CPLD驱动液晶什么也没有显示了。 alteraFPGA 2011-2-15 153108 lanseiboy 2011-5-16 10:34
昨晚调试fpga与51通信时碰到一件很邪门的事情 598598 2011-5-15 42648 brahen 2011-5-15 22:44
问个入门级问题QUARTUS CLK管脚怎么设? 40130064 2010-5-19 75823 skyant 2011-5-15 21:35
quartus2怎样设置仿真时间啊,请高手帮忙! lslong 2011-4-13 34475 skyant 2011-5-15 20:15
问个很菜的问题 FPGA输出电平 给一个50欧内阻的器件做TTL信号源 morion 2011-5-13 23630 wanmyqawdr 2011-5-15 15:56
quartus 破_解 252514251 2011-5-12 22464 252514251 2011-5-15 08:13
cyclone v产品在国内上市了没? oped001 2011-5-12 22565 oped001 2011-5-14 22:08
QUARUS2的仿真时延可以消除吗 ssneaker 2011-5-14 01833 ssneaker 2011-5-14 20:57
求助:谁有黑金开发板的USB调试软件,能否给小弟传一个,万分火急。 liuronglang 2011-5-14 22300 incredible 2011-5-14 18:16
CPLD和单片机在开发上有什么区别? lingcw 2011-5-9 153200 lingcw 2011-5-14 08:24
面阵CCD ICX409al 时序分析 xubo1221 2011-5-12 42377 xubo1221 2011-5-13 20:20
Quartus II 4.1怎么破_解 williamrain 2011-4-22 122892 williamrain 2011-5-13 17:25
请教XILINX----- “Spartan3E” XC3S500E-4PQG208C 系统供电问题及配置芯片问题 deweyled 2011-4-21 84058 dongzhiqing 2011-5-13 16:46
求教各位 关于verilog做WCDMA扩频的问题 wzyl120 2011-5-13 01904 wzyl120 2011-5-13 11:18
quartus中文教程 http://www.altera.com.cn/education/courses/online-courses.html mahui625 2009-2-20 54981 yebin 2011-5-12 22:57
顶着钢盔来问,有没有办法把单片机+epld的设计给反向出来。 wowo 2011-5-12 22303 NJ8888 2011-5-12 22:29
求做一个基于FPGA的数字电压表的设计价格面议,加QQ626475054 626475054 2011-5-4 62347 zgq800712 2011-5-12 21:48
新手求救啊 关于无线的 有谁做过基于SX1212无线通信 asd557536 2011-5-4 42470 165liu 2011-5-12 18:19
DSPBuilder 官方英文教程 zgxcom123 2011-5-3 123023 zgxcom123 2011-5-12 13:57
提问关于FPGA数字调制的基带信号输入 ssneaker 2011-5-12 01806 ssneaker 2011-5-12 10:05
请教下如何在datasheet中看管脚的功能 xiepengchenghn 2011-5-12 01809 xiepengchenghn 2011-5-12 09:03
自己写的一个读写SRAM程序,请各位大侠指点~~ laijiajian 2008-7-29 74395 gxhuangyifeng 2011-5-11 20:51
有在学quartusii 的高手指导一下吧,uart 如何写中断程序 zhangqiaoqiao 2011-5-11 02083 zhangqiaoqiao 2011-5-11 16:23
我按书上的方式连接电脑和emp3032-不能下载 wyh789 2011-5-11 01845 wyh789 2011-5-11 14:13
这为先生貌似很冤啊 大家怎么看这段话?? 我的老师也大概说过这个话 theloong 2011-5-10 234369 pontiff 2011-5-11 11:16
RS连续编码 han840121 2011-5-11 01933 han840121 2011-5-11 09:52
请教一个对存储器分段存储的问题? libaozhu 2011-5-4 31947 libaozhu 2011-5-11 00:47
这个20秒倒计时咋都这么难调试呢?? cxlspp 2011-5-10 22144 panda1130 2011-5-10 18:59
Quartus II 警告:Found invalid fitters assignments怎么解决! DepravedLucien 2011-5-10 02433 DepravedLucien 2011-5-10 18:13
网上搜集的“FPGA设计指导准则”,希望对大家有用 urgentmail 2011-5-5 11839 wodemengxiang 2011-5-10 15:44
CPLD关于变量不能赋值的问题!!帮忙看一下。 opchywen 2011-5-8 11915 wodemengxiang 2011-5-10 15:15
求verilog开发比较火的论坛…… jbb0523 2011-5-8 11971 wodemengxiang 2011-5-10 15:12
求verilog教学视频 gxy1991 2011-5-10 42433 akuei2 2011-5-10 15:12
问个sdram的问题 maxiao 2011-5-8 52409 wodemengxiang 2011-5-10 15:08
FPGA 时钟问题,两块FPGA通讯时用同各自的晶振经常出现误码,用同一晶振没有问题??? haibo2806 2011-4-20 132932 wodemengxiang 2011-5-10 15:07
CYLONE II EP2C8Q208 NIOSII 软核下载不了程序。 573377313 2011-5-7 42160 wodemengxiang 2011-5-10 15:05
VGA出不来颜色,麻烦好心人帮忙看看三基色的接口直接赋值对不,干学不久,菜鸟 shexujia 2011-2-15 144386 wodemengxiang 2011-5-10 15:05
双口RAMCY7C026对同一个地址进行一端读一端写的操作好像不可以同时进行? ggg12345 2010-6-11 82846 wodemengxiang 2011-5-10 14:56
VHDL中如何设置标志位?? aicd 2011-5-6 21903 wodemengxiang 2011-5-10 14:55
200V瞬变衰减信号进行分段数据采集,求分段的方案 sunsicheng 2011-4-23 172565 wodemengxiang 2011-5-10 14:52
分享一下QII 10.1河_蟹文件 lieshi 2010-12-15 124934 wodemengxiang 2011-5-10 14:50
问一个FPGA与RAM的问题 sn2101 2011-5-6 12041 wodemengxiang 2011-5-10 14:48
niosii每次打开工程后要重新编译,时间太久了 tornadomeet 2011-5-9 32096 wodemengxiang 2011-5-10 14:28
问一个关于同一个reg在不同always下赋值的问题 jlhgold 2011-5-3 72509 wodemengxiang 2011-5-10 14:26
谁能给一个Synplify pro的下载地址。。。谢谢了。。。一直找不到。。 handsome_boy 2011-5-4 83341 wodemengxiang 2011-5-10 14:14
CPLD就是快! qianhng 2010-12-21 296275 wodemengxiang 2011-5-10 13:59
大虾救命了,同样的工程(niosii和quartusii)都没有改变,程序死活就是下不进去! niosii IED lianglong 2010-8-16 72870 wodemengxiang 2011-5-10 13:55
我用ILI9335驱动的TFT屏一直左右轻微抖动,请大家帮帮忙! hoho34 2011-5-3 12648 wodemengxiang 2011-5-10 13:55
项目外包,摄像头数据采集 zend 2011-5-3 12195 wodemengxiang 2011-5-10 13:52
求小尺寸的EP3CLS200的开发板 yhmyin 2011-5-3 11971 wodemengxiang 2011-5-10 13:52
ADS7825的控制 dltyy 2011-4-30 42865 wodemengxiang 2011-5-10 13:51
CPLD与单片通讯用外总总线接口速度可达到多少? cicnx 2009-5-6 428980 pafvell 2011-5-10 11:25
cpld有这么费单元吗? hzr0071 2011-5-5 82604 kuailenvhai123 2011-5-10 10:50
关于DE1驱动sd卡的问题 tornadomeet 2011-5-6 52256 kuailenvhai123 2011-5-10 10:29
想做个--基于FPGA的数据采集系统 triangelno1 2011-5-7 11790 kuailenvhai123 2011-5-10 10:25
emp3032at44-10的管脚 wyh789 2011-5-10 01700 wyh789 2011-5-10 10:14
请教niosii下下载nios程序到cfi-flash问题 tornadomeet 2011-5-9 22993 kuailenvhai123 2011-5-10 09:06
请问【DW8051】IP核里包含的【DW01_add.v】之类的模块有何用? shanan_net 2010-5-9 45643 boot701 2011-5-9 04:49
求助!Xlinx FPGA Virtex5系列 XC5VLX110T 从串配置模式,DONE管脚始终为低电平。 xiyue0430 2011-4-20 42718 alalong 2011-5-8 22:19
niosII控制9325tft显示的奇怪现象 tornadomeet 2011-5-6 62396 tornadomeet 2011-5-8 17:04
50M时钟如何分频为11.0592M? qianguang 2011-4-29 104991 love_STM32 2011-5-6 20:30
基于FPGA的数字电压表的设计 xiaozhuo 2011-4-29 22506 xuqiucheng1111 2011-5-6 16:35
Alter FPGA 输出信号过冲问题大家是怎么解决的! MA_J 2011-3-28 73327 zhangjv 2011-5-6 11:33
求把这几行代码转成VHDL!谢谢 40130064 2011-4-30 22321 youzhengyang21 2011-5-6 11:09
那位大哥有Quartus II 9.0 的特别文件共享一些,谢谢。 myouravr 2009-3-16 226307 youzhengyang21 2011-5-6 11:08
VHDL菜鸟求助 Aggressor 2011-4-5 32192 flyingforever 2011-5-6 10:43
时序仿真的问题 insomnia1107 2011-5-5 22026 insomnia1107 2011-5-5 21:05
FPGA开发板原理图【恢复】 jianglitao2007 2008-10-31 115763 cangsongbai 2011-5-2 22:55
如何进行VHDL模块的simulink仿真? heyangfengyue 2011-5-2 01698 heyangfengyue 2011-5-2 21:21
xilinx 的大学计划失败!!杯具 bynce 2010-10-11 206209 xinghaibin 2011-5-2 16:19
我也小玩一下74HC595 yuphone 2011-5-2 22512 d-link 2011-5-2 16:18
xilinx Vs altera palzhj 2011-1-21 86273 pontiff 2011-5-2 14:25
傻了,这编码器(差分长线输出)怎么接入FGPA semonpic 2010-8-9 126568 gaoyukun 2011-5-1 22:28
EPM240怎么加密? jingguang580 2011-4-27 12385 zgxcom123 2011-5-1 19:37
NIOS怎样关闭所有中断? 40130064 2011-4-30 02123 40130064 2011-4-30 20:15
ADC0809控制问题 wanwzy 2010-8-20 23716 dltyy 2011-4-30 19:25
quartus2不支持memory型的变量,是指memory型变量不能综合,还是在quartus2环境下根本就 lslong 2011-4-29 01961 lslong 2011-4-29 19:40
请问各位大侠,MAX II中UFM用SPI接口怎么使用啊? wqm521216 2011-4-29 02174 wqm521216 2011-4-29 18:33
nios 自己建的IP怎么修改 40130064 2011-4-29 32275 yuphone 2011-4-29 15:48
请教:spartan3-an 无法写入配置 bluemare 2011-4-28 22086 bluemare 2011-4-29 11:22
怎样将输入16位的并行数据转换成8位并行数据输出 quray 2011-4-28 73277 quray 2011-4-28 22:58
请问一下,XILINX的FPGA有类似altera的EP2C8Q208的FPGA吗? bad_fpga 2011-4-28 22551 navalguy2 2011-4-28 21:32
FPGA程序很难看懂(请帮忙看这个程序写的规范吗) lindabell 2011-4-27 73030 lindabell 2011-4-28 11:34
哪位有经验的大侠看下这个EP2C5复位电路有没有问题 40130064 2011-4-27 12462 40130064 2011-4-28 09:15
编译NIOS II的程序出现如下错误,不懂问题在哪? number007cool 2010-7-14 43437 lanseiboy 2011-4-28 00:48
近几天烧了几个EP2C8 ,分享下经验 40130064 2011-4-25 93094 chenwb 2011-4-28 00:27
[求助]我的quartus软件无法用,急!!【恢复】 lixinsir 2008-12-29 75178 chunge2050 2011-4-27 22:25
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 13:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块