搜索
收藏本版 (108) |订阅

FPGA 今日: 1 |主题: 10376|排名: 35 

作者 回复/查看 最后发表
Spartan-3AN 4I/5C双标芯片问题 master0722 2011-3-14 32373 lei_yang_lei 2011-6-23 14:29
EP2C8 1.2V电源需多大电流? 40130064 2011-5-28 42683 kofkyok 2011-6-23 10:32
请教EP2C5T144C8 配置芯片为 EPCS1 能不能烧录(APS)?用的是Quartus II 9.0 jssd 2011-6-22 53940 qty0508 2011-6-23 09:45
向师兄师姐们求教 easy_hua 2011-6-23 01711 easy_hua 2011-6-23 08:41
这个是那家的晶振,有人知道么? fenglouto 2010-11-4 12008 zhuanyecrystal 2011-6-22 23:46
FPGA板上有源晶振大小=100MHz? joyko 2009-12-24 76430 zhuanyecrystal 2011-6-22 23:43
弱弱地问下:40MHz晶振在CPLD里怎样变为25.175MHz jssd 2011-4-7 103094 zhuanyecrystal 2011-6-22 23:39
请教一下这个有源晶振3.3V能工作不? 时钟输出直接接CPLD的CLK0脚... cxlspp 2011-4-20 63102 zhuanyecrystal 2011-6-22 23:36
你不懂没关系,可以学,我也可以很耐心的教你,但请你不要sb。如果不合适请删帖吧。 liuxuemin2010 2010-7-5 8510657 sharpedge 2011-6-22 14:09
用FPGA做的信号发生器频率控制字怎么给啊 ??? songyanhlj 2011-6-20 52932 du520xi 2011-6-21 22:22
SAA7144HL 求教 snk12 2011-6-19 11935 bone 2011-6-21 16:35
原创:再发一个简单的串口输出,每次SEND打入一个16位数据,然后启动两次UART过程(当然改成 NJ8888 2010-11-21 133331 xmjulytiger 2011-6-21 14:55
请高手帮忙解释一下图中两种EP3C5E144C8批号问题(有图) CHENBINGSTER 2011-6-17 62459 chinabn 2011-6-21 14:02
quartus 仿真问题 caicaidabing 2011-6-14 22079 caicaidabing 2011-6-20 21:12
mem型变量可以位操作吗? xmjulytiger 2011-6-20 01789 xmjulytiger 2011-6-20 20:56
手头有一块e-elements的fpga板子..可是没有资料..谁有吖.. CloverX 2009-10-20 53509 gzfslt 2011-6-20 11:06
求问,这个怎么用Verilog去实现,我用的是ISE,急,谢谢 haixiaowujun 2011-6-20 42120 haixiaowujun 2011-6-20 10:47
如何实现这样的占空比? xuzhengan123 2011-6-16 212859 xuzhengan123 2011-6-19 22:36
[转载].从前有棵树,叫高树,树上挂了很多人 yuphone 2011-6-9 526736 lrbdh 2011-6-19 19:45
做图片压缩 器件选择求助~~~~~~~~~~~~ sfp208 2011-6-17 62203 caizijian 2011-6-19 13:45
Qutus II 10.1编译出错 loop with non-constant loop condition must terminate wit pafvell 2011-4-21 510867 pafvell 2011-6-19 10:26
虚心请教设计一个STM32的tim1要占用多少个宏单元? stu_www 2011-6-18 01770 stu_www 2011-6-18 23:23
FPGA串口发送程序,上位机接收错误,请教 jssd 2011-5-26 62585 NJ8888 2011-6-18 22:43
FPGA板子不能工作 xuzhengan123 2011-6-5 32140 shawnelee88 2011-6-18 18:36
请教大家一个VERILOG关于{}用的语法问题。 avrgogo 2011-6-4 32135 avrgogo 2011-6-18 16:07
在使用FPGA时,有没有可能不使用NIOSII的情况下,直接用上外扩的大容量RAM? FlashNuk 2011-6-11 94366 caizijian 2011-6-18 12:55
稳压管到底怎么样用才是对的? zhuyi25762 2011-6-17 62591 tome001 2011-6-18 11:33
用verilog语言编写的电子琴跟电子钟 fengboy 2008-6-29 1210686 arcui 2011-6-17 23:49
有没有专门的高速计数器芯片 mama2518124 2011-6-16 54557 ibmx311 2011-6-17 13:31
如何在电脑上显示图像? xuzhengan123 2011-6-16 52160 mahong123 2011-6-16 22:52
一个奇怪的问题?不用的引脚不注释,全编译后结果乱套。。【已解决】 zhangxin0804 2011-6-16 92202 zhangxin0804 2011-6-16 21:42
SDRAM和DAC复用FPGA引脚的可行性 LoHasMan 2011-6-16 01941 LoHasMan 2011-6-16 14:13
ADC输出后缓存问题 favourite 2011-6-15 22433 p.nicholas 2011-6-16 11:34
usb blaster 指示灯run 下载完成后不停地闪什么原因 rongjunqiang11 2011-6-9 52530 rongjunqiang11 2011-6-16 08:48
有没有5V的CPLD啊? hushaoxin 2009-5-13 148135 yaoboxue1986 2011-6-15 14:38
ISE 11.1安装求救 linxiaolong 2010-3-18 199844 zhangyan8600 2011-6-15 13:58
用过MAXII系列CPLD的进来看下,请教一个问题 meistin 2011-6-15 12008 ahuang227 2011-6-15 12:47
【FPGA入门】fpga与cpld的区别 giftFPGA1 2011-6-14 32470 not_at_all 2011-6-14 21:53
FPGA仿真中如何加入循环运行 mcu_arm9 2011-6-14 01818 mcu_arm9 2011-6-14 18:14
chipscope 的使用问题 insomnia1107 2011-4-13 12390 syai02 2011-6-14 17:32
如何将win7的计算器移植到xp上,win7的计算器实在是太拉风了! yuphone 2011-6-12 3813784 linghu2 2011-6-14 12:28
XILINX ISE 11.1不支持Sparant-3AN,有办法解决吗? master0722 2011-2-17 43090 zhangyan8600 2011-6-14 11:56
低功耗版的EPM240GT100C5好不好买 lihui_mc 2011-6-14 01872 lihui_mc 2011-6-14 10:42
关于同时读FIFO与写FLASH的速度匹配问题 meistin 2011-6-13 02161 meistin 2011-6-13 17:42
如何实现光端机反向数据? B-Walker 2011-5-23 32139 guolh 2011-6-13 16:05
电脑分辨率低1366x768,Quartus对话框显示不全,咋办呢? shangxf 2011-6-13 66686 aozima 2011-6-13 14:58
如何控制AD9945 xuzhengan123 2011-6-12 12163 p.nicholas 2011-6-13 14:40
新版的PPC405EX开发板,有高清的相片,请大家欣赏! shixm.zh 2011-1-28 53650 yuxhuitx 2011-6-12 17:44
最新用Spartan-6片了做的板子,请大家先过过目吧。 shixm.zh 2009-12-13 7016095 yuxhuitx 2011-6-12 17:41
CycloneIII下载出错! oaktwig 2011-4-24 22187 mcupro 2011-6-12 00:28
为什么FPGA上定义的各输入输出引脚上都有一个电平? xlfarm 2011-6-11 32346 xlfarm 2011-6-12 00:23
招实习大学生(电子类,最好家是徐州的) libaozhu 2011-6-11 52523 cyr_hongfeng 2011-6-11 19:40
fir complier ip core 中各种频率参数配置问题,弄了好长时间了,急??????? perofhap 2011-6-10 22191 taotaoa 2011-6-11 12:03
【资料下载】赛灵思28nm 7系列fpga集成了模拟功能,大家就方便了 giftFPGA1 2011-6-10 22527 shunda 2011-6-11 01:34
modelsim仿真 czyfeifei 2011-6-10 01771 czyfeifei 2011-6-10 17:34
Modlesim仿真的问题请教大家。 Adrian 2010-7-7 103379 karl2000 2011-6-10 13:28
EPM570 + 8*8点阵显示问题... cxlspp 2011-6-2 162714 chinabn 2011-6-10 13:11
verlog中有什么好的方法写sin查找表? ssaweee 2011-1-23 32669 karl2000 2011-6-10 13:04
"甲醇控制器 汽车甲醇双燃料转换器"知道的,讲讲吧--好或是不好,原理,效 kv2004 2011-6-9 22232 kv2004 2011-6-10 07:55
CPLD 采集摄像头OV7670数据液晶VGA显示时拖尾严重!!OV7670怎样配置?大虾帮我! jssd 2011-6-9 02473 jssd 2011-6-9 18:00
求助:FPGA中状态机出现死机情况,没有找出原因 anning 2011-5-31 43652 qwic 2011-6-9 15:51
请教关于基于NOIS2的FPGA 数据采集系统设计问题 favourite 2011-6-9 01876 favourite 2011-6-9 14:05
请教关于XILINX中源同步设置中的 CLOCK 相移 andy_mqy 2011-6-8 22212 andy_mqy 2011-6-9 10:13
请问一下,3.3伏特的FPGA输出,到5V的ps2接口,能不能与接口通信呢~ lgyc 2011-6-3 52116 qty0508 2011-6-9 09:54
怎样消除这些红色东西? yhzhx01 2011-6-1 42395 sz_meter 2011-6-9 08:36
如何提高quartus编译速度?只改动一点点也要编译好久。 McuY 2010-10-19 398468 yuphone 2011-6-9 05:58
掌握Verilog和单片机,再学FPGA,路还有多长 Sc0pin 2011-5-19 73265 mcupro 2011-6-9 02:45
求一个 Xilinx ISE 10.1版的和谐文件 vermon 2010-7-13 73745 mcupro 2011-6-9 02:16
求助:Cyclone II的JTAG下载 prestar 2011-5-22 32907 mcupro 2011-6-9 02:01
官网上的基本资料--目标是无惧E文,六级虽过,那是浮云quartusii_handbook_9.0。。。。 3080241078 2011-6-8 11978 3080241078 2011-6-8 22:27
flash 是不是需要连续写一个快? chun2495 2011-6-8 72048 3080241078 2011-6-8 22:25
怎么样把elf文件和bit文件组合到一起? rx_78gp02a 2011-3-13 36487 uestc_huang 2011-6-8 19:30
菜鸟问一个 关于 actel 的 a3p030 FPGA 实用性问题? netport 2010-11-23 133370 ht3allen 2011-6-8 15:22
【资料下载】采用Stratix V精度可调DSP模块实现高性能DSP应用 giftFPGA1 2011-6-8 11869 yuphone 2011-6-8 12:45
请问怎样使quartus9.0支持更高级的元器件 domon 2011-5-18 12180 sunnyhook 2011-6-8 11:21
altera fpga 的AS配置模式需要对quartus进行什么特殊设置么? gaohongfeijj 2011-6-8 01811 gaohongfeijj 2011-6-8 10:02
flash擦除必须是块擦除吗? chun2495 2011-6-7 52623 skystalker 2011-6-7 20:33
nios时间戳不一致问题 请教 chun2495 2011-6-2 32283 chun2495 2011-6-7 16:19
nios 9.1 真的有问题吗?flash下载不下去是不是和版本有关系呀 chun2495 2011-6-3 62586 chun2495 2011-6-7 14:25
工程师们必备软件 lixiaoxu2meng 2011-6-7 32389 jackwang123 2011-6-7 12:02
找人开发一个基于CPLD的伺服控制器 szmachine 2011-5-19 384560 liubinghui 2011-6-7 08:52
请问有没有 NIOS II Assembly 指令资料手册 j-link 2011-6-7 01854 j-link 2011-6-7 05:47
各位,谁有《Verilog HDL高级程序设计》的电子书啊,急需啊 lslong 2011-6-6 01916 lslong 2011-6-6 23:01
nios编译错误 cafppla 2011-6-6 01979 cafppla 2011-6-6 20:33
请阿莫哥删帖! yuphone 2011-6-6 92607 icetea 2011-6-6 19:06
有做过PDH的二次群复用器的么? guolh 2011-6-4 11909 guolh 2011-6-6 18:09
microlbaze 不运行的问题有点怪 liu_xf 2010-12-7 22566 liu_xf 2011-6-6 17:59
求推荐带有硬盘接口的开发板 jzd19851102 2011-6-5 11991 jzd19851102 2011-6-5 08:52
串口发送的一个问题,发送的时候重是跳着发。好奇怪的问题,才学还望高手帮一下忙。 mcu_arm9 2011-6-4 32750 flamingwave 2011-6-4 23:46
ad7762——fpga koleader 2011-6-4 01680 koleader 2011-6-4 10:37
大家帮忙看看嘞 CPLD产生115200波特率问题 lingcw 2011-5-23 42085 lingcw 2011-6-3 22:41
求助啊!!!怎么用altlvds做一个serdes接口啊? adangxun 2010-12-31 104596 xwm007 2011-6-3 15:28
谁做过AD5724,帮帮忙 shephard 2011-6-2 11764 chun2495 2011-6-3 15:06
夜深了, 问一个问题, 脑子一直转来转去的 sn2101 2011-5-25 102790 chun2495 2011-6-3 14:47
verilog程序,怎么修改 fengchuitian 2011-6-3 01776 fengchuitian 2011-6-3 11:19
nios flash programmer 下载失败! kimiyang 2009-5-28 107271 chun2495 2011-6-3 11:03
win7下modelsim的系统任务出现问题 chensong0007 2011-6-2 12431 theloong 2011-6-2 22:41
这是个vhdl加减法器的程序 为什么要这么些 ?莫非有某些好处? theloong 2011-6-2 01892 theloong 2011-6-2 22:34
有关ILI9325显示数字钟的问题 sunemnqsu 2010-10-17 32305 jijianjun8111 2011-6-2 20:56
有可脱机CPLD下载线么? bluerain 2011-6-2 01548 bluerain 2011-6-2 00:10
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块