搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10379|排名: 35 

作者 回复/查看 最后发表
请教:FPGA中使用软核 在实际工程应用中多不多? eeko2005 2010-8-3 164193 Robin1991 2011-7-29 12:50
大家来看下小弟编的verilog HDL 加减计数器怎么不能在 Quartus 8.1 仿真? yuantielei 2011-7-26 92925 colinedeng 2011-7-29 11:47
各位帮帮忙 小弟在nios环境下做按键中断 chun2495 2011-7-29 01720 chun2495 2011-7-29 09:40
FPGA与ARM通信 freeworld 2011-7-14 52581 SystemARM 2011-7-28 22:18
NIOS上按键中断的问题 gongziwuyou 2010-3-25 83891 chun2495 2011-7-28 10:53
MicroBlaze的使用方法 推荐给初学者 dzq112358 2010-10-13 12036 rainoffire 2011-7-28 00:15
FIT过程提示宏单元不够,怎样减少宏单元?急 scfor 2011-7-26 143258 waitingconfirm 2011-7-27 19:47
问个傻瓜问题,如何利用FPGA对方波信号分频,且分频后占空比不变~ 327127049 2011-7-25 285829 philoman 2011-7-27 18:17
想了一天了,还是有点想不通这和问题,新手求指点(FPGA实现的数字时钟) chenming1989 2011-7-25 42479 philoman 2011-7-27 17:59
传一本书——《Digital Design - An Embedded Systems Approach Using Verilog》,貌 flashman911 2009-4-6 175639 siyuz 2011-7-27 17:36
关于verilog程序和引脚分配的困惑!请指导! baiyunyx2008 2011-7-18 72695 gxunddy 2011-7-27 15:12
fpga niosII使用ucos中遇到中断问题 jiangminjun 2011-7-26 02009 jiangminjun 2011-7-26 23:12
SOPC自定义LCD控制器 IP核时出现下面错误 hanyanzj 2011-7-25 32293 putty 2011-7-26 22:19
epm570硬件仿真的问题? spaceaky 2011-7-26 21931 putty 2011-7-26 22:14
在ubuntu下安装和使用Quartus II的注意事项 sunge 2011-7-26 13233 yuphone 2011-7-26 16:29
FPGA实现SDRAM控制 comate 2011-7-13 12298 Totry 2011-7-26 12:22
高手分析下代码,仿真都不出来 scfor 2011-7-22 252952 scfor 2011-7-26 08:38
关于MAX7000 引脚资料 zhangjung 2011-7-24 51990 zhangjung 2011-7-25 23:05
自己定制的IP核为何没有ID号。用xilinx13.1.xps下定制,然后导入到SDK,但在头文件Xpara liquanmin 2011-7-25 02194 liquanmin 2011-7-25 19:05
为什么通过PEX8311设计的PCIe总线查找不到硬件? karl2000 2011-7-25 02704 karl2000 2011-7-25 09:38
ispLEVER程序警告问题求解 ,求高手们帮帮忙 long9998 2011-7-25 01839 long9998 2011-7-25 09:36
请问 在nios里按键怎样去抖呢? chun2495 2011-7-23 42327 cpfdianzi 2011-7-25 09:21
简单的读写内部ram的ip核问题。。麻烦会的朋友浪费少少时间帮帮忙,有急用。。多谢。 lsjshengll 2011-7-24 22032 study_yu 2011-7-25 08:27
nios串口接收问题,请求大家帮助! suiping 2011-1-21 12451 hoycys 2011-7-24 23:05
一直纠结的问题 chenming1989 2011-7-24 31970 chenming1989 2011-7-24 23:04
软件上的哪些原因可能导致使用JTAG方式配置运行正常,而使用EPC4S配置运行不正常和情 zlf667788 2010-4-15 115653 gaoyukun 2011-7-24 17:40
怎么判断cpld的好坏? mars_snow 2011-7-17 63528 muok 2011-7-24 15:28
关于DCFIFO 宏功能模块问题 neulj 2011-7-24 12460 putty 2011-7-24 12:23
求一份“基于fpga的vga汉字显示”的程序 yaoniesolo 2011-5-16 32373 nideke 2011-7-24 11:25
AD9627配置寄存器用SPI总线配置不起作用 jlqamark 2010-6-20 53143 stickler 2011-7-24 11:21
求10M低通滤波 yorkyun 2011-7-23 62330 stickler 2011-7-24 11:18
免费的8051IP xuhelinx 2011-7-6 92836 odandingo 2011-7-24 09:30
我也发表个东西:使用CPLD进行倍频 精华 digest dodgecat 2007-2-10 2412919 tlong_1919 2011-7-23 17:37
关于TLC2543模拟通道怎么选择的问题。大家给点意见 haixiaowujun 2011-7-23 01853 haixiaowujun 2011-7-23 15:55
仿真无错,综合出现问题 scfor 2011-7-23 32187 scfor 2011-7-23 13:30
整理后再一次求助两个并联hc595驱动四位数码管的问题,已经显示了,数不对。。求求各位 lsjshengll 2011-7-22 43235 lsjshengll 2011-7-22 22:23
求高手指点 chenming1989 2011-7-22 22112 xivisi 2011-7-22 19:39
xilinx的原装下载线的价格 lanliang714 2011-3-28 83445 zlz250663435 2011-7-22 18:37
在线求助,xinlinx impact烧写CPLD是出现下面错误 lingaogang 2011-7-21 32372 Vectorque 2011-7-22 18:10
关于epm570输入时钟的晶振频率选择问题? geff 2011-6-23 22776 sn2101 2011-7-22 13:42
基于CPLD+AD2S1200实现的旋变解码(verilog) ctk520 2011-7-22 02477 ctk520 2011-7-22 11:14
modisim仿真不了,报出错 yz_altang 2011-7-21 83582 yz_altang 2011-7-22 08:44
FPGA外扩RS232接口问题,求指导…… jbb0523 2011-7-15 102945 study_yu 2011-7-22 07:32
关于图像传输和处理 dltyy 2011-7-16 122806 study_yu 2011-7-22 07:26
UART波特率问题 yigeren0405 2011-7-14 42548 study_yu 2011-7-22 07:19
我做的ByteBlasterII 精华 digest qzhqzh 2007-11-7 1810148 duxingkei 2011-7-21 23:32
xinlinx CPLD9572xl 如何通过USB接口下载线下载,第一次弄 lingaogang 2011-7-21 11816 NJ8888 2011-7-21 16:22
刚学CPLD 写VGA彩条显示,写竖条有用。写横条就成了线了。。 pipi-mao 2011-7-21 12123 pipi-mao 2011-7-21 14:33
增量式光电编码器计数器程序(VHDL),欢迎各位测试拍砖. 40130064 2011-7-19 32745 scfor 2011-7-21 09:43
好久没见无机酸了。 huayuliang 2011-7-17 153303 stdio 2011-7-21 01:12
VHDL程序求助 xkdwangcs 2011-7-20 22093 xkdwangcs 2011-7-20 20:23
我的DS2431读出来的数据有时候不正确,各位给点意见? chun2495 2011-7-19 32745 chun2495 2011-7-20 13:41
有偿寻求Xilinx FPGA开发合作 zlfxia 2010-7-13 82789 H_Z_123 2011-7-20 12:25
如何在XILINX ISE12.3中注释一段代码 新手求助 谢谢各位大虾 pafvell 2011-5-25 102715 xizi 2011-7-20 02:28
【广而告之】Xilinx 隆重推出 ISE Design Suite 12.1 ngzhang 2010-5-6 5712242 xizi 2011-7-20 01:20
【点滴积累FPGA】关于3-8译码器10种不同的表达 mcupro 2011-7-14 92664 mcupro 2011-7-20 00:45
vhdl 怎么自建库? brahen 2011-7-19 11961 brahen 2011-7-19 23:23
xinlinx CPLLD 有没有小巧的下载软件,买了个USB接口的工具。 lingaogang 2011-7-19 11956 y595906642 2011-7-19 12:04
【点滴积累FPGA】例说阻塞与非阻塞的差别 mcupro 2011-6-26 93372 xiaojia0812 2011-7-19 09:22
【原创】Cyclone III FPGA开发板 相关实验例程 持续更新 giftFPGA1 2011-6-24 125230 liyirudouya123 2011-7-19 08:36
Altera中文教程 S310040217HGC 2011-7-8 32169 liyirudouya123 2011-7-19 08:35
ISE 13.1 PROM下载教程 liquanmin 2011-7-16 12515 2003panjing 2011-7-19 00:21
传说管脚镀金的SARONIX的100M有源晶振,外壳是接地还是接电源? STM_FPGA 2011-7-17 22823 STM_FPGA 2011-7-18 12:47
配合AD9857做个信号源,应该选择什么样的FPGA yc2711550 2011-7-17 42059 g47603690 2011-7-18 09:26
我基于QUARTUS FPGA 逻辑分析仪的试验和结论。 sdmmqy 2007-4-26 105478 algebra 2011-7-17 21:52
fpga模拟plc Tomas_Yung 2011-7-17 01961 Tomas_Yung 2011-7-17 20:57
请教各位一个问题:普通串口的数据已经送进FPGA来了,我要做的是用一个LED指示其线上是 huohuansong 2011-7-15 82213 huohuansong 2011-7-17 16:47
Nios II EDS 9.1 中没有“C2H”的菜单了吗? ltzyabc 2011-7-17 01808 ltzyabc 2011-7-17 15:50
Verilog数字系统设计教程-夏宇闻 gywangjun 2011-7-17 02222 gywangjun 2011-7-17 14:45
请教位移位一个简单的问题 zm2002 2011-7-15 52014 NJ8888 2011-7-17 06:24
请大家讨论一下呀,关于CPLD的能力。 shuizhuzqj 2011-7-12 92911 aureole 2011-7-16 23:33
ise13.1PROM下载指导 liquanmin 2011-7-16 01953 liquanmin 2011-7-16 21:54
FPGA控制DS18B20代码,绝对原创,欢迎拍砖 精华 digest  ...2 zkf0100007 2008-5-14 12630700 tigerer412 2011-7-16 16:13
CPLD与AD和RAM之间的数据传输问题 libaozhu 2011-7-15 32450 libaozhu 2011-7-16 11:59
NIOS II 编译时间太长了! 40130064 2010-6-2 196689 wanyong123 2011-7-16 10:22
verilog case语句似乎构成了锁存器? wjf0509 2011-7-9 83370 songzhikang 2011-7-16 09:32
一个verilog写的状态机在不同条件下的仿真波形产生的原因探究 xiaojia0812 2011-7-16 02052 xiaojia0812 2011-7-16 09:30
QUARTUS里面出现 entity does not exist in design的warning是怎么回事啊? fatesword 2011-7-8 53173 alsonlgk 2011-7-15 18:54
这个版块管理员员是谁? 40130064 2011-7-15 22013 40130064 2011-7-15 17:12
哪位朋友有此原理图? sweetgirl 2011-7-13 31950 Steal 2011-7-15 16:31
管理员请把我的帖子删了吧 两个帖子一共四个人回复。。。。对待菜鸟也不能这样见死 xtqxtq111 2011-7-11 102605 xtqxtq111 2011-7-15 14:17
终极内存技术指南 xuzhengan123 2011-7-14 11992 ChouQ 2011-7-15 00:49
串口出了问题 xuzhengan123 2011-7-14 52069 huangdog 2011-7-15 00:09
FPGA黑金开发板的价格是多少? condor 2010-6-23 135432 baiyunyx2008 2011-7-14 15:56
请教各位前辈,怎么样让自己水平提高点? 40130064 2011-7-14 22000 NJ8888 2011-7-14 15:53
【点滴积累FPGA】例说reg mcupro 2011-7-11 102670 cwfboy 2011-7-14 15:32
ATF1508无法下载【恢复】 spidium 2009-1-22 22867 wsql 2011-7-14 14:33
一个奇怪的电路,CPLD后面直接连接达林顿管,达林顿管后又接了一个光耦? mamami 2011-3-7 22626 action001 2011-7-14 09:31
MAX_II EPM240 直接驱动光耦,驱动能力够吗? cicnx 2011-3-19 43001 action001 2011-7-14 09:24
force赋值语句 yigeren0405 2011-7-13 21956 chenguanglu 2011-7-13 22:12
请大家看一下我选的DDR和FPGA的组合 happysheep224 2011-7-13 12238 g47603690 2011-7-13 21:22
请教AD转换器与FPGA(EP2C8)接口电平问题 favourite 2011-7-12 145724 favourite 2011-7-13 19:54
SOPC自定义内核求助 hanyanzj 2011-7-13 01797 hanyanzj 2011-7-13 17:19
现在哪个厂家的FPGA可以嵌入Cortex-M1软核,Xilinx or Altera? htjgdw 2010-11-24 227085 muochen001 2011-7-13 15:31
JTAG不能下载,而AS模式的可以下载的问题 xiaoxixi123 2011-7-13 01598 xiaoxixi123 2011-7-13 11:10
问声,用EP3C10E144 +NIOS11 跑网络资源够吗 ersha4877 2011-7-13 22191 ersha4877 2011-7-13 10:37
请问如何在ISE中使用查表法,数据有点多,一般资料上是在Quartus使用 haixiaowujun 2011-6-9 83519 heyongj 2011-7-13 10:11
关于DE2-70和de2-115板子谁了解 nuaatao 2011-7-10 43662 j-link 2011-7-12 22:43
多路快速切换,有什么好的方案? xizizuiren 2011-6-16 142643 yz_altang 2011-7-12 16:33
小弟不才,开始学FPGA,用verilog写的UART不工作,求指教! zywhsy 2011-6-25 62350 yz_altang 2011-7-12 16:20
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 15:47

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块