搜索
收藏本版 (108) |订阅

FPGA 今日: 1 |主题: 10376|排名: 35 

作者 回复/查看 最后发表
如何用VHDL做一个数字示波器 3012008225 2011-8-4 72498 2130427 2011-10-25 15:30
fpga数字示波器 dandelion 2011-4-2 32827 2130427 2011-10-25 15:27
4层板设计。请教各位前辈! jessie9940509 2011-10-18 32038 2130427 2011-10-25 15:26
求教-关于QUARTUSII中下载问题 yangyanggegewi 2010-8-3 77493 oceanzheng 2011-10-25 14:24
CPLD+SRAM+CH341驱动VGA活动!  ...2 flyforyou85 2010-8-7 11215961 wenzj123 2011-10-25 11:33
cyclone I 能跑多快? 200M总是跑飞? qidaimengxing 2011-10-6 152813 nianzhong 2011-10-25 11:27
CPLD编译用了175个逻辑单元,怎么选FPGA那? beiguoqishi 2011-10-6 122602 90999 2011-10-25 07:15
求助:repeat() 要求"endmodule"是什么意思?--verilog hdl brahen 2011-3-23 86082 kihell 2011-10-24 23:00
FPGA开发板预定报名 cy123 2011-7-4 828371 liaopinjia 2011-10-24 12:34
FPGA高速DA输出请教 gtking 2011-10-24 01297 gtking 2011-10-24 11:27
关于用dds软核产生正负电压正弦波输出的一个问题,麻烦会的大哥大姐帮帮忙,谢谢。。 lsjshengll 2011-10-17 31953 gtking 2011-10-24 11:21
各位大侠帮忙看看,为什么图像一直在懂 zdm512 2011-3-28 32309 ureyhu 2011-10-24 09:29
FPGA乘法器 517650971 2011-10-20 31497 aquan0705 2011-10-24 08:30
【FPGA礼物教程】用FPGA实现3-8译码器,适合FPGA初学者 giftFPGA1 2011-6-17 32581 hi289 2011-10-23 13:24
一个CPLD PWM产生器,在比较器中duty参数传递不成功,请高手指导 szaival 2011-10-23 11645 szaival 2011-10-23 10:35
I2C 可以通过FPGA再连接到芯片上吗? seawwh 2011-10-16 154185 john2liu 2011-10-23 07:05
请大家给个意见,面对众多开发板如何选? cexo 2011-10-22 01135 cexo 2011-10-22 17:15
新手遇到了困难,求解答:指令译码电路的设计实例 a14730497 2011-10-21 51877 cexo 2011-10-22 16:30
今天下午就仔细研究一下数字信号处理的一部分 dongzhiqing 2011-9-10 162724 ymdynhz 2011-10-22 15:16
$89 Avnet Spartan-6 LX9 MicroBoard pontiff 2011-10-22 01945 pontiff 2011-10-22 14:21
用quartus9.1和11.0编译代码的时候出现系统错误,求指点~~~~我已经被搞的晕晕的了 sfp208 2011-10-15 122211 sfp208 2011-10-22 11:37
特开此贴,xilinx-ISE学习答疑帖 tiandy 2010-8-2 408441 STUDY123 2011-10-22 10:09
led异步控制卡 求高人指导 wandersky0822 2011-10-20 21717 qwic 2011-10-22 00:44
Altera中文网站更新了!Altera微博开通了! yuphone 2011-8-4 93244 cAso 2011-10-21 21:59
特权同学PS/2键盘解码疑问?! caijinshu 2011-10-12 41916 xd_confess 2011-10-21 20:23
Quartus II Wed Edition 编译Error: Current license file does not support the EP axiom 2007-9-29 88350 53chunfeng 2011-10-21 19:13
请大家给点意见, 并行编程器还值得搞吗? buickbuick 2011-10-20 61846 buickbuick 2011-10-21 15:20
今天刚写了一个SPI的收发模块,恳请大家多多给予批评指点,不胜感激…… ZISONM 2011-7-6 162985 john2liu 2011-10-21 11:38
使用modelsim-altera进行后仿真是遇到的问题 nanfangxn 2011-10-21 01482 nanfangxn 2011-10-21 10:18
谁知道TFT寿命有多长? the_wind_blows 2011-10-20 133977 bluefeel 2011-10-20 20:37
关于sdram 控制器的相移问题,怎么保证其相移在高温、低温都保持一致呢 adeshang 2011-10-13 11576 kojunsheng 2011-10-20 16:29
急啊!求助,怎么编写CORDIC算法求正余弦的仿真程序啊,用Modelsim仿真,希望看到波形图 haixiaowujun 2011-3-22 12738 flyaudio 2011-10-20 15:04
EDK用户自定义ip,有图有真相(版本12.2) matrx2010 2011-10-19 11659 matrx2010 2011-10-20 10:16
DE2电源问题,请大侠帮助呀 feixiaku 2011-10-9 61855 Candlelook 2011-10-20 00:27
求一个能够感知红外光线,可以由FPGA处理图像的图像传感器 faguangshi 2010-10-3 94133 hellowly 2011-10-19 15:09
SmartFusion FPGA带硬的主流ARM® Cortex™-M3,可以充分利用现有STM Fusionics 2011-10-19 01410 Fusionics 2011-10-19 13:38
求eeprom IP核 feixiaku 2011-10-17 31536 justahu 2011-10-19 12:53
想买的赶快,悄悄的进村,打枪的不要-----淘宝上 最便宜的 没有驱动芯片的 16064和2406 longquan 2011-3-31 84088 zhuanghua13 2011-10-19 10:06
Quartus II 用高版本编写的工程在低版本的打不开,求助如何解决 xzm8469105 2010-6-6 87418 haogong 2011-10-18 22:40
请教:想学习XC3S50这款FPGA,因为有项目要用到这款芯片,有什么好书推荐吗?谢谢! SailJune 2011-10-18 11723 philoman 2011-10-18 20:04
大家好,小弟谢过了 Genius_Lion 2011-10-17 31529 hellofpga 2011-10-18 16:17
新手学FPGA 求意见 求帮忙 a14730497 2011-10-13 21356 xbaby123 2011-10-18 16:01
[转帖].PLL[锁相环]电子教案.ppt tear086 2010-3-26 32967 elcarim0efil 2011-10-18 13:17
avr内核源码 ngei 2008-6-12 63326 where 2011-10-17 20:45
usb blaster硬件安装向导无法找到需要的软件因此无法安装(求助) 523335234 2011-8-26 62856 maomaozhu 2011-10-17 19:29
fpga串口框架图 517650971 2011-10-16 11519 philoman 2011-10-16 21:55
VerilogHDL语言概述及开发流程 tangjie0928 2011-10-16 01151 tangjie0928 2011-10-16 11:34
VHDL和Verilog HDL那个比较好用或者好学点啊? hanyanqing12 2011-8-23 11890 tangjie0928 2011-10-16 11:27
QuartusII基本开发演示 tangjie0928 2011-10-16 01157 tangjie0928 2011-10-16 11:12
Cyclone3 AS模式可以下载但是程序不能运行 jlqamark 2010-5-31 124408 lov9210 2011-10-16 11:07
ip核的合成问题·~~~~ feixiaku 2011-10-15 11399 feixiaku 2011-10-15 22:15
阻塞赋值和非阻塞赋值用在并行块中的结果 stalker2 2011-10-15 01430 stalker2 2011-10-15 20:02
ISE调用modelsim后仿真没有信号,必须手动添加的原因?? cwfboy 2011-2-14 45759 forlsy 2011-10-15 18:07
epm7256停产了么?我急需几片啊。谁能帮我找找,真要命 thzbigone 2011-10-13 132089 taianhk 2011-10-14 15:27
FPAG快速原型开发 cdtsinghua 2011-10-14 01037 cdtsinghua 2011-10-14 14:38
求助图像显示问题 pebble 2011-9-29 62529 hebeizhangyj 2011-10-14 11:18
请问有经验的高手Altera CycloneII 的配置为什么要两种芯片? lanpad 2011-9-8 122537 hebeizhangyj 2011-10-14 10:59
2c35很烫怎么办? benckey 2011-9-6 32073 hebeizhangyj 2011-10-14 10:37
求教 verilog hdl编程问题 miaoxin 2011-10-6 11324 hebeizhangyj 2011-10-14 10:08
quartus 11破_解问题 517650971 2011-10-4 62016 weiaiyuxiao 2011-10-13 18:28
急求VHDL语言用书!!! youngman1987 2011-9-18 51907 dashuszhl055 2011-10-13 18:00
[zz]FPGA配置(AS、PS、JTAG) laoki8888 2007-12-6 166278 xumjbn 2011-10-13 13:31
有没有玩VFD的,最近画了块驱动板,拿出来晒晒【恢复】 yuhang 2008-11-3 198704 zwsyau 2011-10-13 11:28
Quartus II v11.0 for X86 和谐文件,亲测可用。 linhaimi 2011-6-12 507884 fengyuwuzu11 2011-10-13 09:33
求助!Quartus II 9.1功能仿真波形输出乱码? fengyuwuzu11 2011-10-5 22096 fengyuwuzu11 2011-10-13 09:27
[调查]NIOS在产品中的应用! gwj221 2010-3-19 82885 j-link 2011-10-13 01:57
哪位有modelsim 6.5的教程 最好是 视频 文字很可以 谢谢了 很急 啊 725SA 2011-10-12 01423 725SA 2011-10-12 23:48
新人求助nios ii入门书! wolfdong7 2010-1-21 33120 xumjbn 2011-10-12 23:23
有关用命令行进行编译的求助!!!谢谢 youngman1987 2011-10-12 11469 qiezp 2011-10-12 21:26
谁有配置好的NIOS II双核系统的工程,麻烦给发下,谢谢 number007cool 2010-8-31 43209 zlgyp 2011-10-12 18:47
还有人嘛 我问的问题怎么都没人回答啊??????????? 725SA 2011-10-12 01243 725SA 2011-10-12 14:35
请教ABB TDM光通信的VERILOG实现。 huiyanhuishi 2011-10-12 32275 1ongquan 2011-10-12 12:24
3分频 波形仿真正确啊,综合有错/为什么啊 软件是XILINX ISE li0o0o0o0o0o 2010-7-31 73300 frankmeng 2011-10-12 11:38
请问个实时时钟的问题? roy2002 2011-10-11 11440 roy2002 2011-10-11 19:22
CPLD LCD控制器 有源晶振 的问题 VCC出现尖冲 屏幕显示 移位 c65193145 2011-10-11 21566 xubeiping 2011-10-11 17:12
nios程序下载到flash问题 hsc0588 2011-10-5 21733 xubeiping 2011-10-11 17:03
怎么理解变量 和 信号 菜鸟求助 725SA 2011-10-11 0991 725SA 2011-10-11 15:46
关于FPGA管脚配置的问题 happysheep224 2011-7-5 52071 wjcusney 2011-10-11 14:12
CPLD的内部上拉问题 wjcusney 2011-10-11 01280 wjcusney 2011-10-11 11:48
CPLD的输出问题 wjcusney 2011-10-11 01284 wjcusney 2011-10-11 11:44
虚心求教:正交编码器信号是怎么处理的? ahai0306 2010-5-15 184835 sxndwg 2011-10-11 10:15
发一个自己写的RS232收发程序。 avrgogo 2011-10-8 11802 duxingkei 2011-10-11 09:40
Nios+ucos+ethernet最少需要多大sram ? yangshuhe33 2011-8-29 52813 chm119 2011-10-10 17:38
microblaze for延时 matrx2010 2011-10-7 43107 matrx2010 2011-10-10 16:26
有谁知道安装在PLCC插座里的PCB模块是怎么加工出来的吗? sunge 2011-10-5 244147 sunge 2011-10-10 10:35
atera cyclone iii 开发板技术交流 youngman1987 2011-9-22 11809 jobs_start 2011-10-9 23:21
CPLd 为处理器扩展IO问题 chang2588 2011-9-29 112533 kk223 2011-10-9 23:09
ALTERA 真的有点烦,,提供的 8.0 版本无法输出 sof,pof烧写文件!!--算了 EPM1270 退 caosix 2009-10-20 3112558 jobs_start 2011-10-9 22:54
求助! Cyclone3 as能下载 但上电配置失败 ray652mun 2011-4-27 82987 FEYNMAN 2011-10-9 22:46
求介绍款入门级fpga开发板 yfwuh 2011-6-28 62545 linbai502 2011-10-9 22:45
fpga入门推荐板子 guangfucool 2011-9-22 11402 linbai502 2011-10-9 22:20
菜鸟求救:哪个大侠弄个程序存进cfi flash 但是启动从epcs里把程序从cfi flash里读到s hsc0588 2011-10-8 11348 linbai502 2011-10-9 21:45
对于nios 系统中的verify failed 问题的一点 想法 和 请教 。 zlq999 2009-8-15 79809 Costar 2011-10-9 21:31
谁有基于SOPC的图像识别程序?是艾曼电子的板子!谢谢 hy7892060 2011-10-9 11974 jlhgold 2011-10-9 21:02
请问cyclone register 资源等级 osoon2008 2011-10-9 21586 osoon2008 2011-10-9 12:59
用FPGA处理图像后端数据传输,用1394b,请教 waterlaotou 2011-10-9 01516 waterlaotou 2011-10-9 11:27
第一次画了一张CycloneIII的最小系统板子,望大家过目指正。 linhaimi 2011-6-26 7912860 kaniel 2011-10-9 09:02
Quartus 编译警告,求帮助,急用!! feixiaku 2011-10-9 02031 feixiaku 2011-10-9 00:32
ModelSim能对使用Xilinx ISE设计的原理图(.sch)仿真不? DanielDeng 2011-10-8 12362 philoman 2011-10-8 23:41
求助:There is no compatible Ethernet MAC in your design super_dong 2010-5-2 12630 chm119 2011-10-8 15:17
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 11:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块