搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10376|排名: 35 

作者 回复/查看 最后发表
关于FPGA的SDRAM,很奇怪的问题。。。 quest 2012-8-18 91562 wye11083 2012-8-22 00:02
fpga读写CY7C131失败,原因未知 langxing08 2012-8-21 0760 langxing08 2012-8-21 20:05
请问有ISE 13.2中文资料或者书籍?最好经典的 ffbiao 2012-8-6 51226 pontiff 2012-8-21 14:59
FPGA初学者适用,个人觉得超好!!!! attachment shi562142022 2012-7-20 293090 lffpga 2012-8-21 14:48
3000价位的官方开发板,大家发表点意见~ dhjwahaha 2012-8-17 112089 pontiff 2012-8-21 14:36
哪位好心人能上个VHDL SDRAM的读写模块吗? 40130064 2010-6-2 22244 b20061475 2012-8-21 10:28
使用quaruts ii 的fifo完成8位的1584图像帧写入FIFO,如何实现... german010 2012-8-21 1835 hys0401 2012-8-21 07:49
VerilogHDL那些事儿 这个教程怎么样? orange-208 2012-8-20 11498 zxq6 2012-8-20 20:31
signaltap中trigger in和观测信号的trigger conditions有什么关系 jlqamark 2010-6-6 12580 simpleh 2012-8-20 16:34
xilinx virtex5系列fpga的ucf文件修改 a_biao 2012-8-20 01231 a_biao 2012-8-20 15:07
有人用过THS5651这款芯片没 attachment lanzhengjun 2012-6-8 122312 zhouyounj 2012-8-20 13:48
为什么lcd不显示,附工程请教!!! 新人帖 attachment lzqtw 2012-8-19 4810 kebaojun305 2012-8-20 11:14
怎么将一个WAV 音乐文件数字化? attachment justmakeits 2012-8-15 71650 kebaojun305 2012-8-20 11:13
iBoard任意波形发生器 衰减网络 新人帖 attach_img peixiuhui 2012-8-19 111238 aureole 2012-8-19 23:11
感觉FPGA要深入学习的话,实在是太难了 wszyjsw2 2012-4-8 536885 NJ8888 2012-8-19 20:02
cadence 怎样把原理图导出verilog chuchuang 2012-8-15 55114 chanly1 2012-8-19 19:49
大家看别人写的FPGA代码有没什么好的建议? yxm433 2012-8-17 51113 hunningtu 2012-8-19 19:29
用FPGA产生的正弦信号 attach_img lov9210 2012-8-11 141745 lov9210 2012-8-19 10:50
Nios II 系统时钟问题 attach_img lov9210 2012-8-8 81579 lov9210 2012-8-19 10:48
关于SN65LV1023。。弄了很多天。。请知道的朋友指教下。。感激不尽。。 handsome_boy 2011-6-20 163855 darfur 2012-8-18 20:10
FPGA模拟AWGN,求思路 新人帖 玉草夕林 2012-8-15 91244 philoman 2012-8-17 21:02
DE2-115 引脚分配经常出现“Some pins have incomplete I/O assignments” orange-208 2012-8-17 46028 gnocy 2012-8-17 19:56
Xilinx ISE的原理图中如何加入DCM或是其它IPcore模块? DanielDeng 2009-7-7 96768 ffbiao 2012-8-17 08:47
dcm生成模块有问题,帮忙解决一下吧 xiangxiaowei 2011-8-31 72355 gnep 2012-8-16 17:57
求 VerilogHDL 那些事儿-建模篇 v5 (最后更新) 资料 orange-208 2012-8-15 41178 anmko 2012-8-16 17:15
请问各位过来人,做"硬件工程师"好,还是做"FPGA工程师"好? magicmao 2011-8-30 406055 batou 2012-8-16 11:08
DE2-115做LED实验的时候,需要注意哪些问题? attach_img orange-208 2012-8-14 93634 orange-208 2012-8-16 10:43
关于Timing requirements not met 的问题! attach_img orange-208 2012-8-15 22231 orange-208 2012-8-16 10:42
dsp build怎么只有一个库呢? attach_img 517650971 2012-8-15 1641 517650971 2012-8-15 22:28
大家写状态机的时候都怎么给状态命名? gyth_lx 2012-8-15 21163 gyth_lx 2012-8-15 21:25
求教,关于EP3C25的JTAG下载问题 新人帖 wildgoose0712 2012-8-14 61616 wildgoose0712 2012-8-15 19:35
汗,我发现能把 EP3C5E144 当 EP3C10E144使 aureole 2010-6-14 197612 hunningtu 2012-8-15 14:24
请教:如何由熔丝图文件反推CPLD各引脚的连接关系? focus012 2012-8-15 0976 focus012 2012-8-15 12:29
cy7c68013a 做usb blaster怎么改程序,我改的不对,用不了。 attachment pianzishashou 2012-8-8 51815 pianzishashou 2012-8-15 09:57
[Verilog HDL建模技巧] 低级建模 之 VGA全驱动 akuei2 2010-8-27 214726 ammochen 2012-8-15 00:52
请问FPGA可否输入负电平? lanpad 2012-8-13 81339 Sullivan 2012-8-14 23:47
CRC32 求助 mysunmax 2012-8-10 1780 mysunmax 2012-8-14 22:26
QuartusII不同版本的优化问题 attach_img DanielDeng 2012-8-13 3992 wye11083 2012-8-14 19:26
能否将DE2外扩SDRAM? attach_img hunningtu 2012-8-12 71258 caizijian 2012-8-14 13:52
求一个基于SRAM的FIFO设计,谢谢! ffbiao 2012-8-13 11193 ffbiao 2012-8-14 11:36
我有一块AA084VC03液晶触摸显示屏,TFT液晶屏驱动芯片是EPM240T100C5N,没有接口资料。 63251 2011-12-31 31589 superluminal 2012-8-14 11:00
(求助)怎么让DE2跑到100MHz? hunningtu 2012-4-25 71363 colinh 2012-8-14 10:38
请教如何使用FPGA同步采集数据? attach_img german010 2012-6-30 131407 colinh 2012-8-14 10:19
求教见多识广的大侠们:哪家的CPLD或者FPGA的工作电压(IO工作电压)可以是5V的啊??? XIVN1987 2012-1-1 112787 ayi711 2012-8-13 23:20
FPGA的输出脚,不能接两个模块,求大虾指教... attach_img ngyg12 2012-8-11 71025 pocker5200 2012-8-13 19:08
ACTEL AP060 等精度频率计 attachment catch2000 2012-8-13 21000 catch2000 2012-8-13 14:10
M25P16与EPCS16应该能完全兼容吧? theloong 2012-8-12 24513 theloong 2012-8-13 09:42
请问有没有办法破解QuartusII11.0的一些收费IP核呢? attach_img mcu1815 2012-8-10 22908 mcu1815 2012-8-12 21:08
NIOS的RST脚问题! quest 2012-8-9 3803 quest 2012-8-12 20:16
转让官方Altera FPGA开发板 Cyclone II Starter Development Kit lytga 2011-7-27 143307 lytga 2012-8-12 17:49
大神们 quartusii里面的libraries那么少东西啊? 小滑头520 2012-8-12 0769 小滑头520 2012-8-12 16:48
求大神进!!!ADPLL 中心频率与输入信号的频率 willwinter 2012-8-12 3744 willwinter 2012-8-12 16:30
数字锁相环 分频器系数怎么计算 新人帖 willwinter 2012-8-10 21188 willwinter 2012-8-12 16:23
usb blaster驱动安装不了 attach_img hqjin 2012-8-11 42103 玉草夕林 2012-8-12 09:47
请教CPLD控制sn65lv1023a的问题 新人帖 empeoror_shan 2012-8-10 41024 上弦月 2012-8-11 20:58
单片机与FPGA如何通信? 小滑头520 2012-8-8 81578 苹果520 2012-8-11 16:36
epm240下载完后,是自动运行,还是重上电后运行? pianzishashou 2012-8-5 61367 jobwork 2012-8-11 09:53
哪一种FPGA片子有Cortex-M3的内核? orange-208 2012-8-10 41177 kebaojun305 2012-8-10 22:47
求二手书一本《设计与验证 - - Verilog HDL》EDA先锋的 wj78681969 2012-4-6 31565 eryueniao 2012-8-10 13:20
问个关于noisII的问题 demon_yq 2012-8-10 0654 demon_yq 2012-8-10 10:00
CPLD在QUARTUS II下面不能通过USB BLASTER下载程序 hubukaixuan 2012-8-8 42591 hubukaixuan 2012-8-10 09:18
请教下FPGA在低温下出故障的问题 duck8880 2012-8-9 51682 yayakimwu 2012-8-10 09:03
据说Quartus 12的完整版能增量编译,求方法 dashashi 2012-8-7 42105 flyfox8 2012-8-10 08:13
spartan6配置遇到奇怪问题,熟悉请进!谢谢! attach_img igood 2012-8-9 41083 igood 2012-8-9 21:51
【资料下载】Altera FPGA的下载与配置方法 giftFPGA 2011-5-25 42268 yixin1851 2012-8-9 18:50
求教关于下变频到零中频载波不同步引起的相位频移的问题 attach_img zhanshenguilai 2012-8-8 52463 zhanshenguilai 2012-8-9 17:48
为何移位个数变量没有指定进制和位数? attach_img german010 2012-8-7 2804 ifeng_com 2012-8-9 16:33
ALTERA USB Blaster 是否可以用于下载EPM7128程序 JayGong 2012-8-9 11034 cmdrainsy 2012-8-9 15:17
CPLD软件仿真有异常,求助大家 attach_img mrf245 2012-8-8 121084 mrf245 2012-8-9 13:18
fpga能实现相位比较不 835392444 2012-8-5 71100 835392444 2012-8-9 13:04
想请问下 什么是fpga的算法? 小滑头520 2012-8-9 1837 zkf0100007 2012-8-9 11:46
FPGA,Verilog #延时仿真问题 jessie9940509 2011-11-24 73474 wujinliang 2012-8-9 09:44
Testbench中存在两个例化模块该如何调用modelsim仿真? mcu1815 2012-8-8 23338 xiaocat85 2012-8-8 23:38
光端机设计与开发,本论坛有人做吗 zhang2008wen 2012-2-24 51691 magicer2010 2012-8-8 23:35
求稳定版本Quartus II软件,12.0怎么样? orange-208 2012-8-5 113074 orange-208 2012-8-8 23:11
有正准备入门FPGA的兄弟吗? 一起走、、、 attachment orange-208 2012-8-3 231870 bad_fpga 2012-8-8 17:29
编程verilog多还是片上系统c多???????????????? longc51 2012-8-8 2905 longc51 2012-8-8 14:03
光电编码器的4倍频率CPLD的实现(verilog-HDL程序+图) ilan2003 2005-5-14 2816596 kingreat 2012-8-8 10:43
求推荐SPARTAN6+DDR2的学习板 zl_diy 2012-7-30 131567 pocker5200 2012-8-7 21:07
FPGA_Modbus 新人帖 attachment dongyulei 2012-7-9 41202 mayiqing888 2012-8-7 18:26
lpm中未读取的数据表示? attach_img german010 2012-8-7 0727 german010 2012-8-7 17:15
NIOS调试出现的问题。。。急~~ quest 2012-8-7 01131 quest 2012-8-7 14:27
求 Quartus II 生成 pof下载文件的步骤 。 orange-208 2012-8-7 45203 orange-208 2012-8-7 11:53
困惑的FPGA cmqdcyy 2012-7-30 151992 Mr.zhou 2012-8-7 08:46
哪位大侠一份ISE13.2 IP核的破解文件 ? ffbiao 2012-8-6 01121 ffbiao 2012-8-6 19:55
求助,期望高手解决!用fpga做的高容量的sd卡spi的问题? kdw0451 2009-6-22 93913 Alan_cheng86 2012-8-6 14:28
记录一下遇到1117-3.3不能正常输出电压的一个问题 dongzhiqing 2012-8-6 11256 lingaogang 2012-8-6 12:38
画带SDRAM或sram的fpga板子的一个问题 pianzishashou 2012-6-24 41757 yan.ch.ao 2012-8-6 10:29
关于FPGA的一个原理图,大侠解释下,谢了! attach_img orange-208 2012-8-5 101479 orange-208 2012-8-6 09:50
共享:Xilinx ISE 13.2 licenses chenyuqiu 2011-9-30 125579 ffbiao 2012-8-5 20:38
关于DE2 VGA驱动的一些问题 求教各位大神 attach_img tianpengyu 2012-4-18 21487 chuwei6 2012-8-5 18:37
参加全国巡回电设培训(TI杯) 考来的资料 对初学者帮助很大 zgxcom123 2011-6-11 394934 易水寒冰198787 2012-8-5 17:59
大家仿真cyclone iv都用什么软件仿真? german010 2012-8-4 21380 philoman 2012-8-5 09:53
谁有opencores的账号啊? attachment hunningtu 2012-8-4 125517 kebaojun305 2012-8-4 21:11
Verilog PCF8563控制程序 zj8899 2010-1-30 12543 longc51 2012-8-4 20:45
求助 新人帖 longc51 2012-8-4 0624 longc51 2012-8-4 20:41
请问modelsim SE6.5打开为什么这么慢 lanpad 2011-11-17 51695 rx_78gp02a 2012-8-4 20:27
quartus编译时出现的问题 求解决! 谢谢~~ attach_img 小滑头520 2012-8-4 81060 flyfox8 2012-8-4 17:28
modelsim_se_10.0c 为非altera版本,如何使用quartus ii的库文件? german010 2012-8-4 21091 玉草夕林 2012-8-4 16:06
quartus自动优化问题 attach_img haohouse 2012-7-11 21554 玉草夕林 2012-8-4 15:20
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 07:16

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块