搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10383|排名: 24 

作者 回复/查看 最后发表
一款 Verilog 街機遊戲(TimePilot) sky5566 2012-12-14 173395 sky5566 2012-12-18 10:56
讲讲这段学Verilog的经过,从0开始 attach_img jjj 2012-12-4 355494 steven0419 2012-12-18 09:40
异步时钟域,用2级触发器打2次,能否保证数据的正确性? yxm433 2012-12-16 91498 health 2012-12-17 23:56
贱卖FPGA开发板一套 新人帖 attach_img hcwu_yjs11 2012-11-26 172291 普莱斯队长 2012-12-17 23:55
Lattice USB下载线仿制 attach_img kavinsun 2012-11-13 113523 health 2012-12-17 23:00
SRAM读写问题 attach_img aikimi7 2012-12-17 111205 sky5566 2012-12-17 21:03
大家有无推荐的可调电压模块 attach_img mcuprogram 2012-12-13 2929 mcuprogram 2012-12-17 17:28
求“基于Verilog Hdl的FPGA设计与工程应用”这本书的电子版 orange-208 2012-12-17 0856 orange-208 2012-12-17 16:51
ISE 综合的选项 keep hierarchy dongzhiqing 2012-12-17 05971 dongzhiqing 2012-12-17 11:34
fpga中的MIF文件 zlq999 2010-3-16 22853 suifeng_love 2012-12-17 00:10
SDRAM 刷新问题请教 happyyang2012 2012-12-12 71303 苦行僧 2012-12-16 14:08
2款 FPGA 街機小遊戲 sky5566 2012-12-6 182853 sky5566 2012-12-15 16:28
有了它任何像素的VGA的显示器你都可以驱动了,我找了好久才发现的。 chenxiangting 2011-12-13 334758 llf021421 2012-12-15 10:14
EPM240时钟问题 zhang2008wen 2012-7-22 73245 kanwei 2012-12-14 13:13
关于赛灵思CPLD引脚输出状态配置 田园牧歌 2012-12-12 103107 田园牧歌 2012-12-14 12:48
請問: DeviceIoControl 和WRITEFILE 有什麼區別? mcuprogram 2012-12-13 31192 mcuprogram 2012-12-13 21:29
大家有用SD卡存放FPGA配置文件的吗?产品可靠性怎么样? oped001 2012-12-13 21345 oped001 2012-12-13 20:00
这个视频显示方案合理吗 110112110 2011-10-19 102353 穷折腾 2012-12-13 13:59
高手们,问一个关于Altera双口RAM使用问题 ab8780000 2012-12-11 308638 ab8780000 2012-12-13 13:32
verilog和nios ii 的综合 新人帖 scutfanyu 2012-12-12 51420 scutfanyu 2012-12-13 12:19
用sdram做图像帧缓存,控制器用了FIFO,第一个像素点如何... weihei 2012-12-12 63059 ab8780000 2012-12-13 09:22
要采集200MHz的信号,并把它还原,什么级别的芯片能胜任 attach_img singlexin 2012-11-5 232484 1ongquan 2012-12-12 23:21
受郭德纲启发,告诉大家一个在电子市场散买芯片秘籍 jm4ever 2010-12-7 408262 bxzyf 2012-12-12 22:48
菜鸟问,关于ISE软件中,FIFO例化的问题。 attach_img weihei 2012-11-29 21326 weihei 2012-12-12 18:10
给大家传点FPGA的资料!不知道大家有没有,有需要的就下! attachment sss 2012-12-12 31022 fishplj2000 2012-12-12 17:51
设置virtual clock的意义? attach_img german010 2012-12-12 0999 german010 2012-12-12 16:58
低价出售FPGA attach_img liu906 2012-12-6 91607 happy_andy 2012-12-12 15:52
。。。。寻FPGA高手,报酬现金二千。。 新人帖 attach_img winaaa88 2012-12-5 252155 winaaa88 2012-12-12 13:55
求推荐好的FPGA/CPLD+单片机开发板 attach_img 浮云残雪 2012-12-11 41048 p4s5j6 2012-12-12 09:41
菜鸟的vhdl问题 sulg 2012-11-30 2805 冰峰 2012-12-11 18:44
阿莫FPGA论坛有QQ群吗?小弟想加个群,交流方便些~ 新人帖 chunleilisky 2012-12-11 31120 chunleilisky 2012-12-11 17:28
寻FPGA绝对高手,有偿寻求帮助 Junker 2012-11-20 413475 xivisi 2012-12-11 17:21
求指导:关于Verilog语言建模与C语言函数调用疑问! beirvin 2012-11-13 71493 steven0419 2012-12-11 17:10
关于信号的延时 hujianhuacas 2012-11-27 291739 hujianhuacas 2012-12-11 08:39
设计与验证-Verilog HDL 欢迎DOWNLOAD! attachment orange-208 2012-8-27 102854 冰雪王爵 2012-12-10 16:11
FT232H最小系统发布 jr9910 2012-12-10 01428 jr9910 2012-12-10 00:36
低价出售FPGA 新人帖 attach_img liu906 2012-12-4 101759 chm119 2012-12-9 11:30
华为FPGA设计全套  ...2 nathanest 2011-9-21 15116239 gly13975641313 2012-12-8 23:48
SOPC中增加SRAM IDT71V416后如何使片外的IDT71V416工作起来? jinsam 2010-9-29 54999 wangshaosh123 2012-12-8 18:33
分享一个简单的Verilog状态机交通灯控制器,高手绕路、、、 attachment orange-208 2012-9-13 122026 fwd112 2012-12-8 16:10
如何处理高速采集与低速读设备之间的数据传输? fk2011 2012-12-7 151664 NJ8888 2012-12-8 10:22
那里有卖最便宜的 Stratix III ? sky5566 2012-12-7 21169 sky5566 2012-12-7 21:48
用timequest分析,有如下错误,大家帮忙看下 german010 2012-12-7 0826 german010 2012-12-7 17:28
求购DE0开发板,不是nano哦亲 lb0620 2012-12-7 0720 lb0620 2012-12-7 14:56
SFP光模块和FPGA通信 albertshen 2011-11-12 53986 ifeng_com 2012-12-7 14:45
我是新手购买altera的DE0开发板合适吗 nuaatao 2011-7-9 195299 lb0620 2012-12-7 14:32
FPGA时钟约束 baobaodreamer 2012-12-7 01112 baobaodreamer 2012-12-7 11:45
电梯控制模块求指导。谢了、、 新人帖 听妈妈话的孩子 2012-12-6 51448 lyl520719 2012-12-7 01:23
FTDI FPGA平台支持高速USB芯片和软件 anson51 2010-9-30 34245 jr9910 2012-12-7 00:04
如何让一个信号,在另一个信号上升沿置1,下降沿置0? german010 2012-11-20 325098 zhangalex88 2012-12-6 20:54
【求助】quartus ii 布线布不动的原因?及解决方法 attach_img charliesnake 2012-12-6 01042 charliesnake 2012-12-6 20:44
新人問一個vga問題 新人帖 Cheukyin 2012-12-4 61182 TW_strivehappy 2012-12-6 14:08
有人在赛灵思开发板上做过音频处理的吗? banye2010 2012-12-6 0838 banye2010 2012-12-6 01:06
求助:关于FPGA与DSp进行HPI通信! 虎啸山林 2012-11-29 31068 alps 2012-12-6 01:00
帮忙出点建议,想书写篇ACTEL Smartfusion产品的学习历程 lovexiaona 2011-2-12 63223 Codoox 2012-12-5 23:06
请教一个三极管电路 attach_img wjfblack 2012-12-4 71126 老婆爱吃虾 2012-12-5 21:37
学习型万能遥控器 ming1006 2012-2-7 51585 scutfanyu 2012-12-5 20:15
SDRAM的133MHz时钟怎么产生 浮云残雪 2012-12-5 41285 浮云残雪 2012-12-5 19:01
求助,CycloneII下载程序后没有任何反应CONFDOWN不拉高 attach_img hanshuyujifen 2012-12-2 151881 hanshuyujifen 2012-12-5 07:18
学习笔记之串口(UART)模块设计 attachment 浮云残雪 2012-12-3 21231 浮云残雪 2012-12-4 22:34
ISE 9.1 引脚锁定 huangrenji 2011-6-16 84803 今世小浪子 2012-12-4 17:13
zedboard 的AXI_CDMA flyaudio 2012-12-4 11263 xivisi 2012-12-4 16:42
求助高手,关于FPGA缩放驱动液晶屏1920X1080 新人帖 guantouren 2012-12-4 21280 xyz543 2012-12-4 09:47
请教一个跨时钟域问题,求资料 zhanshenguilai 2012-12-3 1802 uindex 2012-12-4 02:03
求助 quartus ii 无法打开RTL viewer attach_img 浮云残雪 2012-12-1 32224 浮云残雪 2012-12-3 18:52
大家有玩过串口摄像头的么? mysunmax 2012-6-23 21089 lihebb 2012-12-3 18:01
请问各位做FPGA设计一般都用什么作为综合的工具! Junker 2012-11-21 91365 浮云残雪 2012-12-3 13:22
串口通信 新人帖 20112672 2012-11-22 1861 浮云残雪 2012-12-3 13:21
帮帮忙啦~ 新人帖 想你的叶 2012-12-2 3888 浮云残雪 2012-12-3 13:20
问一个verilog基本知识, jjj 2012-12-2 4917 浮云残雪 2012-12-3 13:19
CPLD难学不?只做一些简单功能,想快速上手! wingis 2012-11-20 72022 comeonyuan 2012-12-3 10:31
PCI调试祈求帮助 attach_img XP85118978 2012-11-29 3919 XP85118978 2012-12-3 09:07
控制信号a,使能信号b,接收控制信号c,a、b控制c? attach_img german010 2012-11-28 31091 jm2011 2012-12-2 20:44
【求助】把主时钟分频出来的信号用作时钟时出现的奇怪... attach_img 河马在泡澡 2012-11-28 4941 jm2011 2012-12-2 20:38
关于在tpad上实现两个摄像头工作 新人帖 437142188 2012-12-2 1846 hy2515131 2012-12-2 13:15
有谁熟悉abel4吗? 新人帖 netkiller945 2012-12-2 1900 netkiller945 2012-12-2 01:15
为什么在Modelsim中仿真后,打开保存的波形文件总是出现下... brace1108 2012-11-30 1965 浮云残雪 2012-12-1 18:24
【求助】state machine 里的condition看不太明白 attach_img simpleh 2012-11-27 7859 浮云残雪 2012-12-1 09:34
信号上升沿的判断 新人帖 attach_img jm2011 2012-11-29 31210 浮云残雪 2012-12-1 09:32
XCF08PFS48C问题 czhh123456 2012-10-16 1962 brace1108 2012-11-30 23:27
FPGA引脚可以加交流电压吗? wangweicai231 2012-11-8 152794 cmos2345 2012-11-30 16:25
信号使能的控制? attach_img german010 2012-11-28 41110 wangshaosh123 2012-11-30 15:26
图中红色箭头 指示的时间值 是否是ALTERA 指定的固定值? attach_img german010 2012-11-26 3891 DepravedLucien 2012-11-30 15:19
菜鸟问:EP4CE115 可以玩DDR2吗? orange-208 2012-9-4 81715 leonqin 2012-11-30 14:40
求ISE14.3的破解器 chm119 2012-11-30 01154 chm119 2012-11-30 14:31
求助 nios eds 的模板不能打开,打开创建目录下的software提示权限不够,怎么解决呢 新人帖 cadre 2012-11-29 31319 cadre 2012-11-30 13:18
DE2和DE2_115,大家喜欢用哪个呢? hunningtu 2012-11-20 192906 hunningtu 2012-11-30 10:37
timequest时间约束,图中箭头所指这些参数的具体值从哪获得 attach_img german010 2012-11-21 1824 lb0620 2012-11-29 22:15
一个小小的统计 ddaiss 2012-11-28 111205 hujianhuacas 2012-11-29 21:55
咨询个问题:怎么控制DA数字量输入的多少? 新人帖 attach_img linbai502 2012-11-29 01139 linbai502 2012-11-29 20:05
怎样去衡量一个算法是否适合FPGA实现呢? tastier 2012-11-26 181683 tastier 2012-11-29 17:17
我的QII10.0里面不能中文注释,求救 普莱斯队长 2012-11-27 41005 chenyun 2012-11-29 13:33
求个Xilinx ISE 12.3版本的Lisence DanielDeng 2012-11-28 2928 DanielDeng 2012-11-29 11:37
基于软件无线电的位同步算法研究 请求前辈指点一二 zyw567 2012-10-30 11064 littleGnss 2012-11-28 23:54
正在弄FPGA+AD+DA的板子,FPGA用的2s90 attach_img yuhang 2012-8-28 163371 lhao2199 2012-11-28 16:11
个人关注一下《中国第一家FPGA公司京微雅格横空出世》 attach_img zengyunming 2012-11-26 81730 wkman 2012-11-28 14:09
状态机设计资料by foreveryoung attachment hujianhuacas 2012-11-28 11261 peter_yu 2012-11-28 09:54
ModelSim-Altera 10.0d (Quartus II 12.0)那位大侠有和谐文件的?? STM32LOU 2012-6-26 54594 jjj 2012-11-27 16:11
qurtus12.1 发布 attachment sczh01 2012-11-24 121367 chenyun 2012-11-27 13:39
8位IO驱动多个并接的数码管,驱动电流够吗? Ie-w 2012-11-26 31033 sure220 2012-11-26 21:47
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-10-3 19:40

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块