搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10376|排名: 35 

作者 回复/查看 最后发表
求教高人指点,怎么设计博通的PHY“BCM5221”电路 新人帖 attach_img bob_lee 2013-3-9 01115 bob_lee 2013-3-9 22:50
在NIOS处理器中,把引脚设置成输出,然后读他的值,行吗? banye2010 2013-3-8 1756 uindex 2013-3-9 19:18
应用程序或altera\quartus\bin\sys_cpt.dll为无效的windows映像?? attach_img Ie-w 2013-3-9 01362 Ie-w 2013-3-9 10:25
在FPGA上实现modbus tcp/ip协议,modbus准备用软核写,TCP/IP怎么办 xiaweiyi0201 2013-3-8 41556 liwei_jlu 2013-3-8 23:56
在使用signal tap ii,有时候会出现waiting clock,有时候又可以,不知道哪出现问题 yxm433 2013-3-7 53511 Fpang2006 2013-3-8 21:45
深圳FPGA工程师待遇如何? Fourier00 2013-3-3 72666 Fourier00 2013-3-8 21:06
Quartus II 12.0 可以安装在Windows XP 上面吗 Ie-w 2013-3-7 62547 Ie-w 2013-3-8 21:02
Cyclone II的板子需要SRAM吗?什么场合才需要SRAM呢? hughqfb 2013-3-8 5980 守望者2012 2013-3-8 17:37
FPGA视频教学  ...2 baojie423 2008-6-18 10820017 JoyNow 2013-3-8 16:29
Xilinx FPGA系统板DIY 精华 attach_img digest arbaud 2012-5-18 286339 cy123 2013-3-8 12:31
求助关于ISE生成的pcie ip core 不同版本的使用??????... yangyang1224 2013-3-8 01204 yangyang1224 2013-3-8 10:10
xilinx spi IP 核使用问题求助 matrx2010 2013-3-7 32122 matrx2010 2013-3-8 09:51
招一个机电工程师,月薪4-5K winaaa88 2013-2-27 232500 lmt50211 2013-3-8 08:51
用fpga驱动sdram约束怎么处理? yxm433 2013-2-26 31037 yxm433 2013-3-7 21:32
xilinx virtex-5 各个Bank的区别 aqjinhu 2013-2-21 113478 chenhuwyl 2013-3-7 19:37
谁有xilinx FPGA XCS6LXFGG676原理图封装库 新人帖 attachment chm119 2012-9-17 134666 chenhuwyl 2013-3-7 19:35
S29GL032N90TF104 这个片子最近几年买货不会困难吧? german010 2013-3-2 21267 984506527 2013-3-7 17:53
关于sdram的乒乓操作的问题请教 16ysa 2011-9-1 257356 nnimo 2013-3-7 17:06
求这份资料 新人帖 oakfire 2013-3-7 0782 oakfire 2013-3-7 16:23
EPM240 CPLD电源问题 attach_img dadatou 2012-2-28 92839 R88 2013-3-6 22:58
求助:cpld发烫 attach_img damien 2013-3-5 121286 yuanbao502 2013-3-6 19:51
ISE引脚绑定 waver 2013-3-2 31157 happy_andy 2013-3-6 16:19
求助:自己编写的MicroSD卡驱动源码出现的问题,贴出源码! attachment beirvin 2013-3-6 0766 beirvin 2013-3-6 15:35
带cortex-A9 的SoC FPGA什么时候可以产品化 xilinx altera都在搞噱头 tgyd 2013-2-1 162614 nnimo 2013-3-6 15:31
上传一个ML555的原理图 attachment yangyang1224 2013-3-6 3785 Gavin_Lee 2013-3-6 13:47
关于M25P系列flash 驱动问题 (VHDL) attachment suxilong 2013-2-19 142063 suxilong 2013-3-6 13:44
学习FPGA要学Verilog 或 VHDL语言的一点问题 qq252537834 2012-7-26 121547 lukehua 2013-3-5 23:04
关于布局布线后仿真的时序问题 新人帖 attach_img zby199088 2013-3-5 0864 zby199088 2013-3-5 21:20
大家都用的nage2版本的Quartus II 啊? lov9210 2013-3-5 0724 lov9210 2013-3-5 21:00
请问大家,用FPGA能模拟DVI或者HDMI数字视频输出吗? 新人帖 luoguoying329 2012-9-25 81700 luoyanghero 2013-3-5 20:34
关于FPGA ,MATLAB ,DSP_Builder的问题 attach_img liuying 2012-5-19 31430 ZT2013130 2013-3-5 16:49
赛灵思fpga XC3S400连接flash S29GL032N90TF104,什么作用? german010 2013-3-4 41302 mandey 2013-3-5 15:25
求助:如何实现用VerilogHDL代码控制1602液晶显示? hughqfb 2013-2-16 4785 hughqfb 2013-3-4 16:14
verilog spartan-3e驱动flash M25p16程序---求助 liquanmin 2011-3-9 33228 nnimo 2013-3-4 15:58
XILINX和altera的FPGA的电气特性是否相同? german010 2013-3-4 2782 xivisi 2013-3-4 13:55
Nios ii 编义时提示hello_world_0.elf没找到. nydns 2013-3-4 01181 nydns 2013-3-4 12:45
altera mf controller 生成的FIFO的读写请求信号,是什么沿有效? wshuanben 2013-3-3 1811 wshuanben 2013-3-4 08:32
求SD卡简单测试程序! beirvin 2013-3-3 0642 beirvin 2013-3-3 18:41
移位作除法求解惑。。。 boxboxbox 2013-3-1 61074 NJ8888 2013-3-3 17:05
美国《海豹六队:突袭本拉登》电影中的视频传输 蓝色风暴@FPGA 2013-2-28 283331 hys0401 2013-3-3 16:53
FPGA modbus资料与程序《verilog》推荐。谢谢。。。 CK345 2012-5-11 125449 xiaweiyi0201 2013-3-3 10:44
双核Nios ii 求助 新人帖 liuyanhit 2013-3-2 2806 liuyanhit 2013-3-2 22:55
如何用Verilog描述 SPI 16bit数据的传输? attach_img orange-208 2013-3-2 01197 orange-208 2013-3-2 22:27
一个简单的状态机程序,感觉仿真波形有点问题呢 attach_img R88 2013-3-2 11053 R88 2013-3-2 19:13
MIF文件生成器(发现坛里没有就转过来) boy364100 2011-3-22 3711458 杨大侠 2013-3-1 13:30
基于Verilog的纯逻辑编写的一个音频分析(尚且叫做音频分... 新人帖 attach_img 杨大侠 2013-3-1 41220 杨大侠 2013-3-1 13:25
altera DE2-115 第一个工程永远的LED ou513 2012-2-18 52245 杨大侠 2013-3-1 12:36
状态机状态类型的定义与QuatUSii 能否综合出状态图的问题 attachment suxilong 2013-3-1 0865 suxilong 2013-3-1 10:43
SOPS中video_sync_generator_0是什么意思?VGA吗? nydns 2013-2-28 0844 nydns 2013-2-28 15:23
请问各位哪里有便宜的做摄像头的FPGA开发板? Sheng7 2013-2-26 51429 StoneSun 2013-2-28 15:00
请教基于NIOS的sd卡sd模式 的一些问题 新人帖 keepburning89 2012-5-6 92896 hxw 2013-2-28 13:04
用Modelsim仿真的Altera FFT IP核 attach_img titanruoya 2012-4-8 255816 nnimo 2013-2-28 12:40
用FPGA控制硬盘时遇到的问题,请牛人帮个忙 sterna 2013-2-27 11014 wye11083 2013-2-27 18:44
关于 static 变量求助 matrx2010 2013-2-26 11998 中国力量 2013-2-27 17:51
共享【iCore 双核心开发板】 NIOSII 基础例子 attachment aureole 2012-12-5 112507 aureole 2013-2-27 16:03
公司做硬件的速度让我情何以堪? 蓝色风暴@FPGA 2013-2-23 384548 suxilong 2013-2-27 13:57
用Winbond的Flash替代EPCS会有什么隐患吗 K.O.Carnivist 2013-2-26 75195 mcuprogram 2013-2-27 11:44
求助verilog中几个独立模块共同编译方法 mrf245 2013-2-26 1799 mrf245 2013-2-26 17:24
cyclone II 怎么添加epcs 控制器 lichenjiee 2013-2-25 61748 hys0401 2013-2-25 23:49
Altera DE3板卡资料 Karment 2010-12-18 63284 sky5566 2013-2-25 23:07
Action VIP board实验指导手册V1.01 attachment sky5566 2012-8-28 51660 sblpp 2013-2-25 12:38
请问不做时序约束,对实际编译出来的程序会否有影响 kingchiu 2013-2-24 31275 sky5566 2013-2-24 20:06
一个简单的CPLD程序,感觉仿真的波形有问题 attach_img R88 2013-2-19 121466 billwsy 2013-2-24 16:41
FPGA JTAG下载成功,但CON_DONE不上拉 新人帖 yinhailin2013 2013-2-16 41258 蓝色风暴@FPGA 2013-2-23 14:26
Verilog仿真时无法查看变量值 attach_img mrf245 2013-2-23 01025 mrf245 2013-2-23 13:55
CVBS输入,DVI输出显示 新人帖 hotsauce 2013-2-23 1888 Joezhu 2013-2-23 12:24
LVTTL可以作为THS3201的输入吗? lov9210 2013-2-23 0677 lov9210 2013-2-23 11:02
有人想在武汉做FPGA开发么?有的话Q我。。。 zhangyunbo 2013-2-23 0850 zhangyunbo 2013-2-23 10:41
timequest没看太明白,请教大家,约束的什么? german010 2012-11-26 4923 sky5566 2013-2-22 21:00
fpga做算法与stm32配合可行吗? kawajima88 2013-2-21 91406 hhxb 2013-2-22 12:39
signal tap ii大家调试是否会用呢?感觉效果不好 attach_img german010 2013-2-21 71714 suxilong 2013-2-22 10:50
谁有verilog的系统函数列表,$setuphold函数什么意思? attachment german010 2013-2-22 33133 german010 2013-2-22 09:32
modelsim仿真sdram,如何修改仿真模型参数? german010 2013-2-22 02107 german010 2013-2-22 04:52
hynix内存HY57V641620ETP-H的modelsim仿真模型谁用过? german010 2013-2-21 21050 german010 2013-2-21 18:32
自己焊接的点阵测试512点 yuhang 2013-2-6 51210 steven0419 2013-2-21 10:05
今年春节老婆怀孕不能回老家了!想家的孩子伤不起啊…… lzy_scanworld 2013-2-8 101379 steven0419 2013-2-21 09:33
体验Xilinx All Programmable SoC 新人帖 attach_img xiaoliu241 2013-2-20 2683 xiaoliu241 2013-2-20 11:06
Fusion StartKit开发板,没并口怎么下载 dashashi 2013-2-19 2951 dashashi 2013-2-19 17:39
请教大家 图中所示 “延时文件”在哪里? attach_img german010 2013-1-30 2756 german010 2013-2-19 10:47
FPGA与SOPC设计教程:DE2实践.pdf yuphone 2010-10-11 509595 qinqinfamily 2013-2-18 20:17
在高速数据采集的时候出现了问题,但我思路正确啊求牛... lichenjiee 2012-11-2 71336 cmdrainsy 2013-2-18 12:45
altera PCIE硬核错误 517650971 2013-2-4 63439 517650971 2013-2-17 23:14
Quartus II中使用Verilog,不同位宽赋值,怎样不出现warning? 新人帖 attach_img reaction100 2013-2-16 87656 reaction100 2013-2-17 20:34
图中的sdc文件里的语句是什么意思? attach_img german010 2012-11-21 31264 rx_78gp02a 2013-2-17 20:03
testbench如下赋值有何错误?transmit_data传送数据一直为0? german010 2013-2-17 0723 german010 2013-2-17 17:07
写modelsim的testbench,如何建立连个独立时钟? german010 2013-2-17 0830 german010 2013-2-17 14:26
ALTERA 不能说的密密,惨遭官网删除 sky5566 2013-2-15 124236 lengshuicha 2013-2-17 13:10
quartus ii软件里 mega和LPM的关系? attach_img german010 2012-8-3 21791 富贵闲人 2013-2-17 12:51
Verilog VS VHDL,你们用的都是什么? raxfeer 2012-3-9 487543 h2feo4 2013-2-17 10:56
仿真起作用的`timescale,在testbench有还是每个文件都有? german010 2013-2-14 21031 german010 2013-2-17 07:39
testbench受到触发后,在时钟驱动下发数据,如何写? attach_img german010 2013-2-17 0750 german010 2013-2-17 07:06
verilog 数据采样与处理? chenjm 2013-2-4 21078 wildone 2013-2-16 10:02
altera FPGA里哪些引脚可设置为双向,在哪里查这些信息? banye2010 2013-2-15 21240 wfchwilliam 2013-2-15 21:33
求书一本,《verilog 數位電路設計範例寶典》 ALTERA_WLB 2013-1-31 22868 hjf2002_hk 2013-2-15 21:27
quartus ii 的sdo、vo文件名里还有电压值,什么含义? attach_img german010 2013-2-6 52636 german010 2013-2-14 17:22
modelsim包含了altera_mf的库,图2中错误为何? attach_img german010 2013-2-6 21732 german010 2013-2-14 17:21
为什么我的modelsim总是卡死,有人遇到过吗? tastier 2013-2-10 33710 wye11083 2013-2-11 20:47
特权和你一起学NIOS2;Nios II入门文档 yuphone 2010-12-20 4311624 jetli 2013-2-10 12:16
FPGA不用的管脚设成三态输入,有人不知道这个吗? jay007 2013-2-8 57908 liwei_jlu 2013-2-9 00:26
讨论点有意义的,modelsim如何提高仿真速度? jay007 2013-2-7 61955 pocker5200 2013-2-8 19:01
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 05:14

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块