搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10379|排名: 35 

作者 回复/查看 最后发表
DS18B20 七段数码管显示(Verilog) tear086 2010-3-12 4418976 scutfanyu 2013-9-5 18:04
全套中文《FPGA入门及提高教程》从入门到高手之路! attachment 睿睿 2013-8-12 233335 chinabox 2013-9-5 18:03
zynq来了,现在能拿到芯片吗? qzh 2013-3-8 92158 ssht428 2013-9-5 15:51
发现一个xillinx Zynq 7000的开发板试用活动 Cresta 2013-2-24 42635 ssht428 2013-9-5 15:51
求助,JTAG仿真出现了如图的问题 attach_img 黄阿呆 2013-9-2 31081 when90 2013-9-5 14:45
大伙们帮我分析下,下面的非冗余开方算法! hbchf 2010-3-2 32801 marx 2013-9-5 11:11
TimeQuest中状态机的状态输出被当做基本时钟是为什么? attach_img aikimi7 2013-8-15 52514 aikimi7 2013-9-5 09:22
OV7670颜色问题 attach_img hunningtu 2012-6-30 93866 hunningtu 2013-9-5 09:07
求推荐一款FPGA学习版 jzffzj 2013-9-3 11019 mcupro 2013-9-4 23:21
做个调查坛里有用过异步DRAM的吗,Asynchronous DRAM 新人帖 lulinchen 2013-9-2 11252 Fourier00 2013-9-4 21:10
verilog中向量寄存器加一操作不需要管溢出吗?求解释 AUTORs哈 2013-9-4 62205 Fourier00 2013-9-4 20:09
请问CPLD芯片的GTS、GCK,GSR作用 qiubin2012 2013-9-4 02231 qiubin2012 2013-9-4 18:05
请问R10, C22, R3的数值是怎么取 attach_img yinian 2013-9-4 0886 yinian 2013-9-4 16:39
USB-Cable attachment quanqiuy 2013-4-11 11098 tschennan 2013-9-4 14:17
xapp1022 pcie 驱动程序使用 attachment Farid 2013-8-25 31861 jm2011 2013-9-4 10:58
《FPGA应用开发入门与典型实例》 yuanhuajin 2013-9-1 131756 jm2011 2013-9-3 11:01
EPM240T100C5N和EPM240T100C5区别? asnowgober 2013-7-8 42009 金牛AKI 2013-9-2 21:14
电子竞赛!!!!1 深海烟花 2013-9-1 91391 逍遥慨 2013-9-2 19:05
求“红芯电子”fpga开发板资料,例程,有视频教程更好。... boxboxbox 2012-9-4 43037 y623704481 2013-9-2 15:46
ch376 主机 和 从机之间的切换 chun2495 2013-6-20 11190 chenpeng880620 2013-9-1 21:47
求教 FPGA FIRIP核调用 moneyjkmike 2012-4-19 52371 liyusnoopy 2013-9-1 21:19
麻烦高人谈谈FPGA实现FIR滤波器时,滤波器系数负数的处理方法 elewzgl 2010-3-5 159804 liyusnoopy 2013-9-1 21:19
FPGA的FIR滤波器的采样频率的问题 lightml 2009-4-28 54416 liyusnoopy 2013-9-1 21:18
AD时序问题 attach_img lwjconan 2013-9-1 0831 lwjconan 2013-9-1 19:41
FPGA视频缩放,寻合作 蓝色风暴@FPGA 2013-7-1 11264 magicmao 2013-8-31 21:01
深圳地区求会FPGA的合作 dongwenjie 2013-8-10 11272 magicmao 2013-8-31 21:00
关于CYCLONE2的内部逻辑时钟的问题 新人帖 ybbrdfxk 2013-8-30 1917 ybbrdfxk 2013-8-31 19:59
如图为一个电源的滤波电路,加个106的带极性电容作用? attach_img german010 2013-8-15 122846 ikkl220 2013-8-31 18:29
testbench读取大数据量激励信号 txl12345678 2013-8-31 11408 wye11083 2013-8-31 17:50
关于modelsim—altera 仿真附带初始化mif 文件的RAM 问题 suxilong 2013-8-31 01475 suxilong 2013-8-31 17:12
【原创】SD卡WAV音乐播放器(quartus11.0)(FAT32)(DE2-115) 精华 attachment digest heatlevel huststi 2011-9-1 4614771 mcuandmex 2013-8-31 09:28
请教,cyclone ii的FPGA在上电在没有配置完成前,IO状态和时间 gginhouse 2013-8-30 72456 oped001 2013-8-30 18:35
求解:单片机如何将一个数(范围1--1000000)传给FPGA qgrom 2011-3-20 153746 东海傲虾 2013-8-30 12:51
感觉使用两片DDR组成32-bit位宽用在FPGA上不太适合 YFM 2013-7-21 21834 xingchen 2013-8-30 10:33
【求助】FPGA做DDS波形出现毛刺,什么原因呢 TornadoYu 2010-4-11 3513533 clarkewayne 2013-8-29 22:55
分享点开发板资料(给点耐心,文件有点大,11兆) attachment 啦啦 2013-7-16 121873 吉吉 2013-8-29 16:20
VHDL简单程序 求帮忙看看 顺便谁知道用WAIT怎么实现1S的延... 新人帖 Someshy 2013-8-29 121895 Someshy 2013-8-29 16:14
出一个闲置的XILINX Spartan-3E 入门套件 yeshunter 2013-8-27 61416 rowen800 2013-8-29 12:24
求大神指导fft的ip核的管脚功能 新人帖 attach_img wazihe 2013-8-28 5969 wazihe 2013-8-29 12:22
cy7c68013的USB测试时老是导致电脑老死机 linbaoluo3 2013-8-28 91252 luckyshanghe 2013-8-29 09:15
在FPGA开发中尽量避免全局复位的使用? attach_img fengshaxue 2013-8-27 72145 venilunar 2013-8-29 07:02
状态机修改后要记得重新create symble--个人总结 bad_fpga 2009-7-21 64423 aikimi7 2013-8-28 21:57
用AVR配置XC2S100不成功的原因 fbscici 2009-6-16 52767 mcuprogram 2013-8-28 14:39
DE2开发板的tourial,程序,陆续整理中…… attachment lukehua 2013-8-25 51260 fangchangqing 2013-8-28 12:32
XC2S100 两个bank共用一个VCCO mcuprogram 2013-8-28 1891 NJ8888 2013-8-28 12:15
可调节电子钟 新人帖 389704844 2013-4-28 131326 389704844 2013-8-28 10:32
AS烧写,程序从存储芯片到FPGA耗时很长 必必凌 2013-8-27 2965 必必凌 2013-8-28 10:12
子模块如何仿真?求指点。。。。。。。。。。。。。。..... attach_img yuyu87 2013-8-25 3948 逍遥慨 2013-8-27 21:37
用signatap ii 能不能查看block ram的内容? oped001 2013-8-26 71465 逍遥慨 2013-8-27 21:07
有没有参加13年大学生电子设计竞赛的?一起交流 zlpvch 2013-8-10 734061 zlpvch 2013-8-27 18:17
五一实现了 基于NIOS II的 DMA实现方式的摄像头数据到TFT显示 attach_img heatlevel YFM 2012-5-1 8811198 lcdmcu 2013-8-27 17:35
quartus 总线连接问题? McuY 2010-9-2 78553 lusolzyy 2013-8-26 21:53
I2C总线间的串扰 attach_img wjfblack 2013-8-7 174189 cortesx 2013-8-26 10:57
霍尔芯片MLX90316 非接触式的感应在360度内的旋转位置 attachment 692140122 2013-3-23 242154 highrock 2013-8-26 09:35
数据传输MCU------>FPGA----->SRAM,FPGA是否要做个FIFO qwerttt 2013-8-17 41225 Fourier00 2013-8-25 20:42
FPGA沿触发问题 attach_img lusolzyy 2013-8-4 191544 Fourier00 2013-8-25 20:38
询问总线读取的思路,附上时序图 attach_img qwerttt 2013-8-21 6902 qwerttt 2013-8-25 17:34
用cordic算法原理设计DDS最高输出能达多大带宽? 到底叫什么才好 2013-4-14 21420 Fourier00 2013-8-25 15:50
有关三态驱动器的问题,不是三态门,求高手 attach_img no11xizhong 2013-8-22 11160 Fourier00 2013-8-25 15:41
FPGA 封装 attach_img 深海烟花 2013-8-23 01434 深海烟花 2013-8-23 21:35
求助FPGA和AVR单片机通信电平问题 lusolzyy 2013-8-22 41099 lusolzyy 2013-8-23 09:30
驱动能力不够,将245输出端线与,没有这么玩的吧 attach_img liu_xf 2013-8-19 71335 pipi516 2013-8-23 09:16
从ICKEY.CN 定了一个 LC4256ZE-B-EVN 评估板 a9191389 2013-8-21 41023 a9191389 2013-8-23 09:03
modelsim仿真alter宏模块rom的配置问题 attach_img Luminosity 2013-8-22 2906 Luminosity 2013-8-22 15:38
BGA封装的FPGA板子,批量生产时有什么好的烧写方法吗? attach_img sky_prince 2013-7-15 273868 kneken 2013-8-22 07:45
九成新fpga开发板250转让 新人帖 gemini若 2013-8-21 51439 sevenchrist 2013-8-21 21:46
FPGA 控制TLC5540 求助 attach_img lwjconan 2013-8-21 0921 lwjconan 2013-8-21 14:11
经典三星 SDR SDRAM 读写 verilog 代码 gaole 2011-9-24 133922 jungleyang 2013-8-20 21:38
这是个什么晶振,四个脚,但没有电源输入 ? attach_img german010 2013-8-20 91118 rayman_ppx 2013-8-20 17:41
使用FPGA对曼彻斯特编码解码的一些问题 attachment zchong 2013-6-30 31351 scutfanyu 2013-8-20 15:41
关于FPGA采集ADS831数据错误的问题 attach_img neptuntiansea 2013-8-20 2944 子末 2013-8-20 12:01
那位用过PCI9656芯片 aa565938 2013-8-20 0906 aa565938 2013-8-20 11:41
verilog 程序,新手,求指点 attach_img justloong 2013-8-17 11999 风轻云淡~ 2013-8-20 10:16
推荐:一步一步教你解剖 OpenRISC1200 attachment softwarekcb 2008-7-11 105170 深海烟花 2013-8-20 09:08
各位朋友,有没有兴趣在soc fpga下找课题拓展? xxmile 2013-8-12 1729 jay007 2013-8-19 17:18
我的flash不能下载,epcs不能下载,快疯了 求帮助 attach_img chun2495 2011-4-15 125702 guoyinwhu 2013-8-19 15:38
图片转hex文件怎么做? lusolzyy 2013-8-16 135223 wazihe 2013-8-19 11:30
请问哪可以找到ads828和ad9762的例程啊!!! attach_img lzqtw 2012-8-22 61727 oped001 2013-8-19 07:39
【点滴积累FPGA】宽度和长度都可配置的寄存器模型. attach_img mcupro 2013-8-18 01058 mcupro 2013-8-18 16:41
基于FPGA的两片高速AD并行采样的程序设计 xuwenqiang 2011-10-13 196106 深海烟花 2013-8-18 13:11
用FPGA做FFT,用Altera的那款片子比较好? orange-208 2012-7-29 182906 东海傲虾 2013-8-17 20:34
电感中间有个抽头的电感应该怎么绕制 attach_img scutfanyu 2013-8-16 136623 东海傲虾 2013-8-17 20:22
分频器弄不好了,求大家帮忙,下面有代码附上 CR777 2013-8-17 0902 CR777 2013-8-17 17:37
FPGA做CRC校验做资料_VHDL代码和文档 comate 2011-7-13 12167 6810289frank 2013-8-17 15:22
请问影响FPGA运行速度的有哪些因素? Friendz 2013-8-1 153299 深海烟花 2013-8-16 00:18
照书上抄的程序不能综合!,大家帮忙看看 attach_img moyanqd 2013-8-15 131559 moyanqd 2013-8-15 16:52
FPGA模拟PS2协议,丢掉4x4键盘,来用标准键盘吧! 精华 attachment digest  ...23 laoki8888 2007-12-26 23448481 kongethan 2013-8-15 15:40
FPGA AES加密,有几个问题 attach_img wangjun403 2013-8-12 71960 普莱斯队长 2013-8-15 12:09
大家的FPGA如何防止抄板? jiangchun9981 2013-8-11 152218 shell.albert 2013-8-15 12:03
FPGA的普通I/O口用作时钟输入输出 aduo 2013-8-9 95275 深海烟花 2013-8-15 11:46
求 红色飓风FPGA开发版资料!!! orange-208 2012-6-19 82956 ahchit 2013-8-15 11:41
altium元件叠放问题 scutfanyu 2013-8-13 71194 scutfanyu 2013-8-15 00:02
fpga中把图像转为.coe文件 zjixxw 2013-4-17 54322 835392444 2013-8-14 21:02
上传一个VHDL于VERILOG互相转换的软件X-HDL,并有简单视频教程。 mcupro 2011-3-18 358599 南孤雁2010 2013-8-13 21:57
在线升级CPLD程序,遇到不能重复升级两次的问题。 yuanyangliu 2013-2-27 83634 sara-he 2013-8-13 16:51
求让OV7670输出640*480VGA图像的配置方法??? dhjwahaha 2011-4-21 22561 ian_chyi 2013-8-13 16:45
FPGA 驱动TLK2201 数据同步不了,求指导 kebaojun305 2013-8-10 21492 kebaojun305 2013-8-13 09:23
关于GPIO口的数据传输 w__m 2010-8-14 52818 millwood0 2013-8-12 22:24
iMPACT treats the XCF01S/02S/04S devices as XC18V00 devices,可有办法解决 attach_img liuerji 2013-8-9 21013 liuerji 2013-8-12 10:31
uart16550的开源IP及测试程序 attachment afei8856 2013-8-9 1894 afei8856 2013-8-12 08:39
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-8-27 02:48

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块