搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10383|排名: 24 

作者 回复/查看 最后发表
FPGA初始化数组 WXing 2009-12-23 135658 此人较为厉害 2014-1-6 19:12
EP4C 的AS下载出问题,找不到原因 ? attach_img atom100 2013-12-23 31103 amote 2014-1-6 15:15
求助,modelsim仿真,对输入信号初始化了,还是出现stx 新人帖 attach_img geo1216 2013-12-27 114090 cxhy 2014-1-6 09:38
MSP430F147软核实现与使用 新人帖 attachment Tiy 2013-12-31 231649 yongxiangu 2014-1-5 21:19
新人求推荐比较经典的FPGA书 FK1174 2014-1-4 21157 子鱼 2014-1-5 10:14
电路板的电源设计,大家有用固态电容的吗? attachment german010 2013-4-25 132472 dou_yuyu 2014-1-4 11:35
做一个简单视频监控(SAA7111+FPGA+DA+显示器(VGA接口)) hbcb5676805 2010-2-26 2812057 lichuang是好人 2014-1-2 01:10
开源MSP430F147单片机软核添加自定义外设 attachment Tiy 2014-1-1 41568 Tiy 2014-1-2 00:03
求助 PEX8311的LocalBus能用挂上STM32的FSMC总线吗? wtyw 2014-1-1 01116 wtyw 2014-1-1 15:26
请教Spartan6外挂SDRAM、DDR2问题 guer 2013-12-23 112010 sunshulin 2013-12-31 21:55
EP4CE10F17C8l 能代替EP4CE10F17C8n吗 ? attach_img atom100 2013-12-31 0887 atom100 2013-12-31 21:19
近乎全新DE2-115出售了 attach_img Luis_Li 2013-8-25 232896 zhongpeng123 2013-12-31 12:35
verilog写一个乘法器用数码管显示编译出现错误,请大神帮忙 baojiaqi 2013-12-25 42395 baojiaqi 2013-12-30 15:54
向各位大神请教用modelsim仿真出现的问题,(⊙o⊙)哦是新手 attach_img baojiaqi 2013-12-23 101252 baojiaqi 2013-12-30 15:52
大家说system Verilog以后会不会比Verilog流行,现在是不是该转行去学习他呀 xlwxdl1 2010-4-25 2412259 lulinchen 2013-12-30 09:21
altara的as下载是一个 怎样的过程啊 ?我的as口下载没反应 attach_img atom100 2013-12-24 81148 muok@sohu.com 2013-12-29 12:57
刚用quartus ii 请教下,边上的蓝点是什么? attach_img atom100 2013-12-28 21114 jm2011 2013-12-28 21:56
请大家推荐入门用的(一个FPGA的教程)和(一个FPGA开发板) aheadlead 2013-2-11 216170 navalguy2 2013-12-28 11:52
spi求指导 Uplate 2013-12-26 41128 cht-rtos 2013-12-28 10:24
cy7c68013 官方例程 上位机 Streamer vs2010 编译出现错误 attach_img wtyw 2013-12-17 32494 jordonwu 2013-12-27 19:14
FPGA内磁珠的选择 深海烟花 2013-12-27 21185 深海烟花 2013-12-27 16:32
FPGA等精度频率计的闸门信号切换怎么搞? orange-208 2012-9-26 71843 单枪舞九州 2013-12-26 21:13
基于modelsim的正弦信号发生器的Rom仿真 新人帖 attach_img just-so-so 2013-12-17 11469 guolh_bj 2013-12-26 10:15
CPLD MAX V系列的5M570Z芯片内核电压电流 dandelion 2013-12-26 01012 dandelion 2013-12-26 10:10
一个码流生成和采集设备 attach_img jr9910 2013-12-24 21102 jr9910 2013-12-24 18:31
考考眼力,大家猜猜这些带FPGA的板子都多少钱。。。 attach_img xlwxdl1 2013-12-22 111876 xlwxdl1 2013-12-24 08:13
为什么DDS产生正弦不用震荡的滤波器 合体后代 2013-12-22 81360 合体后代 2013-12-23 16:16
lattice diamond 2.2 在window8.1系统下驱动问题 justloong 2013-12-21 41252 hyhezhen 2013-12-23 08:59
altera EP4CE10供电 是3.6V,超过3.3V,是否可以 ? atom100 2013-12-20 3926 合体后代 2013-12-22 22:34
发一本FPGA verilog HDL的书 附上一些讲义 书名:Digital System Design with SystemV jlhgold 2011-5-4 144030 derekvvsmile 2013-12-22 21:19
转让特权同学FPGA开发板 zp123001 2013-12-16 42367 wzd5230 2013-12-22 17:04
Quartus 13.1 WEB 中子模块如何仿真? attach_img yuyu87 2013-12-11 21036 eedesign 2013-12-21 22:42
altera的as可以加保护,为何jtag口没有家 二极管保护? attach_img atom100 2013-12-21 01009 atom100 2013-12-21 20:50
如果EP4C上 加的超过 额定电压的电源,会造成什么结果 ? atom100 2013-12-21 61015 Nuker 2013-12-21 17:05
有做FPGA开发的么?有的联系。。。QQ:527560502 zhangyunbo 2013-12-21 1888 zhangyunbo 2013-12-21 11:47
Breathing LED attachment happyyang2012 2013-12-20 61034 happyyang2012 2013-12-21 10:13
2片sram做乒乓操作,能否共用地址和数据总线 biansf2001 2013-12-19 91909 huke08@126.com 2013-12-21 02:00
求助,用VHDL语言设计一个数字钟系统(实训) attach_img 李鸿鸿 2013-6-24 392720 小菜鸟001 2013-12-21 00:07
使用AMS1117-3.3V AMS1117-2.5V AMS1117-1.2可以给EP4C供电? german010 2013-7-4 82496 atom100 2013-12-20 18:11
有没有人有兴趣有能力开发一款廉价版的挖矿机的? honami520 2013-12-6 243898 68336016 2013-12-20 16:39
编译nios 工程出现 No rule to make target 错误~未果~~~ qiao_zlj 2013-12-20 11178 qiao_zlj 2013-12-20 15:48
求推荐直流高压输入的DCDC attach_img stallone 2013-8-7 141901 seti 2013-12-20 14:41
ED2-70 台湾友晶开发板 altera12.2 下载问题 attach_img dmi7 2013-12-20 0995 dmi7 2013-12-20 11:40
刚开始学习FPGA,大家给点意见,关于发展方向 mirrormask 2013-12-16 131415 mirrormask 2013-12-20 11:16
用vhdl写具有音乐报点的数字钟(作为初学者,还望各位多多指教) yghe07 2010-7-6 113879 小菜鸟001 2013-12-20 10:10
大家有谁用verilog写过ADC0809的代码啊,AD0809的时钟怎么处理啊,最好有代码给小弟膜拜 xulinlinux 2010-12-1 23058 zxq6 2013-12-20 10:07
基于VHDL语言的数字时钟设计 attachment 小小怪 2013-7-4 11204 小菜鸟001 2013-12-20 09:55
带LCD1602液晶菜单显示的多功能数字时钟-FPGA VHDL版本程序 zl0801 2009-10-9 2314635 小菜鸟001 2013-12-20 01:04
ultra serial port monitor这个串口软件谁用过?求破解版 attachment orange-208 2013-12-19 02082 orange-208 2013-12-19 15:19
CPLD+SRAM驱动4.3寸屏,关于屏的驱动IC问题 shu87946726 2013-1-7 72042 pycbg 2013-12-19 15:12
请教个FPGA的问题,随着不同状态,差别几百毫安,是否正常 attach_img Friendz 2013-12-18 111443 cmos2345 2013-12-19 12:53
Quartus II 12.0 跟 Synplify Pro 哪个版本配套? orange-208 2012-9-3 11651 coldcoolfish 2013-12-18 23:19
晒晒新做的CYCLONE IV核心板 EP4CE15 BGA封装 共享原理图和测试程序 avic 2011-12-6 3517241 lrbdh 2013-12-18 15:18
FPGA驱动RGB LVDS MIPI接口TFT 屏 新人帖 hxw 2012-7-29 115113 dail1104 2013-12-18 13:47
请问,FPGA在安防,监控中,大多用的是哪一种?谢谢。 zzz1367 2013-12-17 1892 yuwangwangyu 2013-12-18 11:35
20ms的计数为什么是20'hfffff william_rain 2013-12-17 11206 william_rain 2013-12-17 20:53
请教下FPC连接器和线,能跑多高频率? attach_img guer 2013-12-17 11528 Nuker 2013-12-17 17:51
上传一个dsp builder的am调制工程 attach_img cafppla1 2012-8-4 11091 老夫子 2013-12-16 21:29
求助dsp builder的问题 attach_img cafppla1 2013-8-2 81177 老夫子 2013-12-16 21:19
jtag 无法连接, 请问可能有什么原因 attach_img wangjun403 2013-12-16 41223 流氓马 2013-12-16 17:57
谁有DE2帮我看看板子上的RJ45座子型号是多少啊? oceanx 2010-9-17 32972 liujinyu 2013-12-16 13:42
关于EP4CE10 PLL时钟输入端问题 georgepcb 2013-12-15 11332 蓝色风暴@FPGA 2013-12-16 10:59
[讨论] FPGA有必要配并行FLASH么? georgepcb 2013-12-15 62582 takashiki 2013-12-16 09:19
FPGA中SDRAM\SRAM\FLASH可以共用数据总线和地址总线么? georgepcb 2013-12-15 42922 大傻师 2013-12-16 01:36
请推荐一款3.3v SPI接口的8路10位或12位AD转换芯片。 georgepcb 2013-12-15 61226 georgepcb 2013-12-15 16:38
FPGA管脚设置 LVCOMS LVTTL 选哪个 ?如何确定 atom100 2013-12-14 31857 atom100 2013-12-15 11:08
NIOSii 软件设计碰到一个问题 mayo20102012 2013-11-7 31048 zwham 2013-12-15 10:21
quartus ii 12.0 添加 Nios ii 软核组件求助。 attach_img chp019479 2013-11-3 41583 zwham 2013-12-15 10:11
弱弱的问一下,Opencv这个视觉库可以移植到NiosII上吗? mayo20102012 2013-12-12 121904 seasung 2013-12-14 14:36
关于I2C从设备ACK的问题! attach_img why1220 2013-12-4 82771 yayagepei 2013-12-13 18:04
FPGA矿机用XILINX V7去搭建,有懂算法说说算法好搞吗? dspsharc 2013-12-9 134490 dspsharc 2013-12-13 14:58
FPGA的2.5V电源如果不加去耦电容会不会对电路有很大影响? justin_n 2013-12-11 82541 dspsharc 2013-12-13 14:53
VHDL中的移位运算符怎么用 yuhang 2009-11-28 1623052 suxilong 2013-12-11 21:17
Warning (10230): Verilog HDL assignment warning at Scaler.v(33): truncated val LuoPan19770708 2009-2-24 1012722 w492901074 2013-12-11 15:29
要做一个显示波形的,关于sdram,nios,adc的时钟及io分配,求教? 新人帖 attach_img qiao_zlj 2013-11-2 142190 zhongpeng123 2013-12-11 09:29
Lattice在AD10的元件库 子鱼 2013-12-11 01142 子鱼 2013-12-11 08:55
DIY了一个XILINX USB Cable ,但是无法更新 新人帖 attachment fdcnuaa 2013-12-5 33025 loves6036 2013-12-10 17:47
PCIE×1采集卡搜驱动卡死 attach_img sky_prince 2013-12-5 61257 sky_prince 2013-12-10 16:07
寻求帮助 attach_img mokang0421 2013-12-9 12983 mokang0421 2013-12-10 14:57
请问EP4CE10的功耗 georgepcb 2013-12-9 112641 msmotioncontrol 2013-12-10 12:58
SDRAM刷新操作是否会关闭当前工作行(有效行) attach_img oceanheart 2013-12-7 51673 pat1006 2013-12-9 21:08
Spartan6使用DCM不能通过MAP sme 2013-5-22 73473 fengjinwei1986 2013-12-9 16:48
SDRAM初始化 VERILOG是不是在时序电路一定要非阻塞方式赋值 attach_img oceanheart 2013-12-9 01210 oceanheart 2013-12-9 16:28
EP2C5Q208和EP2C8Q208引脚是否兼容?可以直接替换么? proteldxp 2011-12-20 82979 ctqvsly 2013-12-9 08:40
verilog代码关于数据的有效方式的疑问 attach_img aikimi7 2013-12-6 282213 wangjun403 2013-12-8 20:34
Quartus II 里面的 的 libraries/primitives/constant 是否用来忽悠人的? eeko2005 2010-8-11 12837 luowhu 2013-12-8 17:08
求 最便宜的CPLD(3.3v)的有那些? wangguanfu 2011-9-7 3810313 pat1006 2013-12-8 15:47
新画的板子 fpga ps2 接口电平的问题 attach_img wtyw 2013-12-6 31039 putty 2013-12-7 12:54
求助 modelsim SE-64 10.0C 仿真 library 中的work 提示不可用 attach_img kiss1220 2013-12-6 1830 kiss1220 2013-12-6 22:48
装quartus遇到了破解的问题,求助大神 新人帖 arlisssenlia 2012-11-8 99227 lihaolongli 2013-12-6 21:15
大家帮看一下这个USBBlaster eeLogic Salea 三合一的下载器怎么用 attach_img eva015401 2013-12-6 51221 kebaojun305 2013-12-6 17:00
有用过艾曼电子FPGA核心板的进?! attach_img caijinshu 2011-12-1 246028 taizhou1234 2013-12-6 15:34
求助modelsim ase 仿真ALTERA 的双口RAM的问题 attach_img wtyw 2013-12-4 62836 wtyw 2013-12-6 14:41
很实用的Cadence使用参考手册 共388页 attach_img 奮闘ing 2013-12-6 121469 yuntian 2013-12-6 14:13
fpga数据打包 灯光下的蚂蚁 2013-12-4 61200 灯光下的蚂蚁 2013-12-5 09:06
什么是多时钟域 wangjun403 2013-12-4 11136 wyeth 2013-12-4 15:28
初学VHDL,请教一个问题 georgepcb 2013-12-3 2897 lans0625 2013-12-4 10:52
关于DSP builder建立FIR滤波器的问题~ gongziwuyou 2010-4-13 32989 chp019479 2013-12-4 06:56
求助一个电路分析 attach_img yinian 2013-11-23 91166 yinian 2013-12-3 17:27
发一个用状态机实现8位独立按键消抖的程序,欢迎拍砖 STM_FPGA 2010-8-27 95487 wangjun403 2013-12-3 09:19
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-10-3 17:52

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块