搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10376|排名: 35 

作者 回复/查看 最后发表
各位前辈大神,你们有博客吗?留个链接让我关注一下呗 cdysjw 2014-2-18 4972 cdysjw 2014-2-19 10:28
quartus ii 9.1 的软件问题 chengsong 2014-2-19 1758 biansf2001 2014-2-19 09:48
手摸FPGA,有“复位”现象,是否设置错误,如何设置? john78 2014-2-12 101206 oped001 2014-2-19 08:06
CPLD/FPGA VGA显示资料代码 zgq800712 2010-11-10 287075 ZLIT1 2014-2-18 13:33
求:VGA控制实现俄罗斯方块游戏VHDL代码 benjam871020 2011-2-25 94327 ZLIT1 2014-2-18 11:52
用VHDL编个VGA的程序,怎么屏幕没反应??? nuaatao 2011-12-30 61826 ZLIT1 2014-2-18 11:48
光端机是怎么把信号混合发送出去的? enovo2468 2014-2-13 151568 enovo2468 2014-2-17 17:27
我想请教下数字复接怎么实现 enovo2468 2014-2-15 5903 enovo2468 2014-2-17 17:25
请教关于去耦电容 南孤雁2010 2014-2-13 101156 yayagepei 2014-2-16 22:22
紧急求助!FPGA多模块问题 kunpeng 2014-2-11 31045 合体后代 2014-2-16 22:11
软件无线电 xlxbangel 2013-12-22 244009 justin_n 2014-2-15 23:16
[MAX II]EPM240T100C5芯片总的电流支持多少?某些引脚代表什么意思? yuyu87 2011-9-8 89346 Microcraft 2014-2-14 14:12
求个VHDL写的IIS代码和仿真代码 jssd 2014-2-12 0731 jssd 2014-2-12 16:10
FPGA不同下载方式的区别【扫盲】 attach_img guozs1984 2014-2-10 63001 guozs1984 2014-2-12 15:05
ov7670摄像头花屏,用手在摄像头前晃动,花屏会跟着变化 attach_img mayo20102012 2014-1-8 111750 熵之矢 2014-2-12 11:09
求助-QUARTUS II版本:13.0编译程序出现的问题 xiaoxiaoyuwu 2014-2-11 01617 xiaoxiaoyuwu 2014-2-11 14:12
求助FPGA译码程序 kunpeng 2014-2-11 0796 kunpeng 2014-2-11 11:04
画FPGA系统板时,如果我不需要AS下载,那AS下载端口可否去掉 mayo20102012 2013-11-14 232482 guozs1984 2014-2-10 10:11
EP4C用AS下载,DCLK信号是谁产生,fpga还是usbblaster? atom100 2013-12-24 21405 guozs1984 2014-2-10 10:06
altera的CPLD请教开漏极设置下载后,再次改成普通管脚不好用 bingtuohun 2014-1-29 2919 bingtuohun 2014-2-9 15:40
为什么我的 SDRAM 控制器只能运行在 100MHz?请高手支招。 grantlu 2014-2-2 92138 xiaohe669 2014-2-9 13:12
求助SATA的IP核,最好是Synopsys SATA IP(愿付重金) 新人帖 yiwenxin123 2014-2-7 71708 neurolinker 2014-2-9 00:38
ZRtech和泰科姆的FPGA开发板,选哪个?顺便分享资料…… 新人帖 attach_img 610570143 2013-8-23 113388 610570143 2014-2-7 15:53
不用再期待--VIP_Board 视频图像开发版Rev2--王者归来 新人帖 attachment crazybingo 2012-12-8 344171 gongping 2014-2-6 18:55
altera 使用内部ram的问题 yuntian 2014-2-5 61640 yuntian 2014-2-6 07:46
dsp builder9.0怎么生成testbench? 我最多只能compiler生成VHDL文件,愣是找不到怎么 attach_img yangshuhe33 2010-10-8 83430 ctqvsly 2014-2-5 13:48
EPM570 容量不够?有什么合适的型号 john78 2014-1-27 181840 john78 2014-2-3 13:42
HDL语言如何保证具体的逻辑结构? autodo 2014-2-2 14974 qiqirachel 2014-2-2 21:10
zedboard能做什么呢? kutf 2014-2-1 81546 y595906642 2014-2-2 18:32
找到些“红色飓风II代开发板的资料”包括使用手册、原理图、PCB布局和封装库 cq2ap9 2009-11-26 186579 lisgo 2014-1-31 23:37
FPGA与SDRAM布线等长问题 新人帖 attach_img derekvvsmile 2013-12-11 173542 kneken 2014-1-31 23:18
关于sdr sdram布线和fpga连接的问题 pebble 2010-4-13 5319295 kneken 2014-1-31 23:15
XILINX ZYNQ 便宜了,999元 你们有什么看法 justin_n 2014-1-8 335310 max 2014-1-30 12:41
altera fpga只能下载一半程序 是什么原因? attach_img atom100 2014-1-27 51097 littlebadbay 2014-1-29 22:30
ML555优化精简板第2版技术支持专用帖 attachment dspsharc 2011-3-22 347887 guolh_bj 2014-1-29 09:56
fpga i2c总线 确认信号不正常,电压只被拉下来一半? attach_img atom100 2014-1-28 01060 atom100 2014-1-28 09:31
fpga ov7670 ssd1289 新人帖 attachment lgx4915 2012-6-9 304979 wudaxiaoxu 2014-1-27 20:43
有没有哪边能买到类似Adapteva 能够专门进行计算的小板 dongdaxing 2014-1-27 2950 dongdaxing 2014-1-27 19:47
新手,关于阻塞赋值有点晕 kaomantou 2014-1-22 41109 jssd 2014-1-27 14:38
准备做一个用于毕设的FPGA板子,求建议 shanan_net 2010-2-17 159151 qsjit 2014-1-27 09:46
FSMC如何又能读又能写 Nexus 2014-1-24 61873 zxq6 2014-1-27 09:09
lm7805 和lm317 attach_img scutfanyu 2013-5-14 92621 marshallemon 2014-1-26 18:51
3000元求教DSP程序,各位大神,求进来看一眼!!! 新人帖 MF021126 2014-1-25 151685 jinchenaquarius 2014-1-26 16:06
新手求助,关于quartus ii 13.0 烧写的问题。 attach_img chp019479 2014-1-22 41829 chp019479 2014-1-26 15:56
有家外包公司要我去做labview,不知道这个前景如何? zbazba 2013-10-24 487235 奮闘ing 2014-1-25 22:35
以前坛子里有一个关于VGA的IP核的代码,找不到了 jssd 2014-1-22 51090 aammoo 2014-1-24 09:43
有源晶振的 管脚定义? attach_img german010 2013-6-25 53369 unifax001 2014-1-24 09:29
EP4C的 vref管脚作为 普通io,电压只有1V 在哪里设置? atom100 2014-1-23 0950 atom100 2014-1-23 17:26
求助 cycloneiV fpga怎么加密 oceanheart 2014-1-23 51444 jm4ever 2014-1-23 14:32
VHDL设计分频器,为什么不用复位端? attach_img kandy286 2013-11-11 192812 avrwoo 2014-1-22 21:28
inout i2c_sda 端口 高阻,测得电压是多少 ? atom100 2014-1-22 11026 skyxjh 2014-1-22 19:21
lattice开发板 新人帖 qsycn 2014-1-15 153034 nightseas 2014-1-21 19:54
使用shift taps做sobel算法的疑问 nibia 2014-1-16 41108 nibia 2014-1-21 16:34
ALTERA的FFT疑问 attach_img BeichenPeng 2014-1-19 51686 BeichenPeng 2014-1-20 15:23
用signal tapii观测到的ov7670的像素时钟的占空比不是0.5,求解 attach_img mayo20102012 2014-1-8 71138 yanzhe128 2014-1-19 23:20
怎样解决VGA显示中,读写SRAM冲突?1024*768@60Hz qwerttt 2014-1-16 111964 gzhuli 2014-1-19 23:14
这个usb器件是否不能被 自动检测? attach_img atom100 2014-1-19 1791 uindex 2014-1-19 19:42
问题咨询:64位系统下的quartusii不能把程序下载到CPLD中 zhandouji 2013-11-1 71150 zhouzmt 2014-1-19 16:00
谁用了 16550 UART IP CORE的啊?在线等大虾帮忙 gibson08 2010-6-1 124848 gongping 2014-1-18 11:41
请教:1万行的verilog,算是什么规模的项目? stdio 2013-11-1 163706 NJ8888 2014-1-16 16:30
用过ACTEL的fpga的说说,加密之后擦除需要密码吗, 公司.... lulinchen 2014-1-14 51854 zqf441775525 2014-1-16 12:44
STM32和FPGA双向通信的实现 新人帖 attach_img jimmylinxiaohai 2012-7-27 2711622 biansf2001 2014-1-16 08:59
谈谈这个时序图怎么理解 attach_img wangjun403 2013-12-28 71920 bigZ 2014-1-15 20:48
请教Modelsim中simulate选项为什么不能操作?如图所示 attach_img wll_2012 2014-1-14 1766 wll_2012 2014-1-15 08:58
pin-to-pin延时如何确定? attach_img 南孤雁2010 2014-1-13 71435 Nuker 2014-1-14 23:38
quartus ii的初始化文件只能输入 十进制数据吗? attach_img atom100 2014-1-14 0820 atom100 2014-1-14 22:32
新手问下SPI slave 和双边沿检测相关问题 新人帖 chenxi_2008 2014-1-14 01068 chenxi_2008 2014-1-14 22:10
做了个下载器,兼容digilent HS1 attach_img znfc2 2014-1-11 141846 znfc2 2014-1-14 15:04
写一个i2c控制器,难道i2c的 数据不是 时钟边沿取数据吗? attach_img atom100 2014-1-14 41305 atom100 2014-1-14 14:01
用一片 sdram+fpga进行图像采集 怎么实现好? atom100 2014-1-12 61326 atom100 2014-1-14 11:01
请问生产上EPM240怎么烧写? mute 2014-1-13 21089 big_cat 2014-1-14 10:01
VPO 视频信号是什么意思? atom100 2014-1-13 0999 atom100 2014-1-13 21:25
要学FPGA,求推荐一开发板。还有参考资料等必备装备 TsongLing5 2013-12-8 151770 TsongLing5 2014-1-13 18:09
请教!在Quartus II中怎么样查看$display显示的内容呢? wll_2012 2014-1-13 31297 wll_2012 2014-1-13 15:59
Verilog HDL 的经典教材,非扫描版超清晰与大家分享 精华 digest  ...234 yinkewang 2009-3-26 30247726 dacbr2 2014-1-11 23:43
请问有用VHDL做过I2C从设备的么? georgepcb 2014-1-11 0791 georgepcb 2014-1-11 19:00
【转】完美破解Quartus II中MegaCore的IP核的时间限制 attach_img heatlevel BBC 2013-4-3 4422047 此人较为厉害 2014-1-11 10:26
SDRAM的mode设置为CAS=2 为何实际却是CL=3出数据 attach_img oceanheart 2014-1-9 61228 oceanheart 2014-1-10 22:45
FPGA 配置问题 justin_n 2014-1-10 41066 justin_n 2014-1-10 21:32
忍不住转载与非网上一位大神写的特辑,太精彩了!! attachment justin_n 2014-1-4 303398 luohao00 2014-1-10 10:39
请问图片中的电平转换芯片是什么型号? attach_img chp019479 2014-1-9 6927 gamalot 2014-1-9 22:17
nand flash操作所遇到的有趣现象(读FLASH ID 信息) attach_img 浮云残雪 2014-1-9 4996 浮云残雪 2014-1-9 13:54
求助:如何生成Xilinx FPGA的protel 99se 原理图库,谢谢 22seu_08 2014-1-2 41073 dspsharc 2014-1-9 10:04
编译过程出现的严重错误 mayo20102012 2014-1-9 01146 mayo20102012 2014-1-9 09:07
大家对Xilinx的Zynq-7000 EPP 开发平台怎么看? attach_img littleGnss 2012-3-29 339479 cyusb3014 2014-1-8 12:51
modelsim仿真的SCCB时序和用signal tapii抓取到的SCCB时序不同 attach_img mayo20102012 2014-1-7 3968 justin_n 2014-1-8 12:22
内容非常详细的Verilog书籍【恢复】 精华 digest nice200406 2009-1-16 9823921 xmu234 2014-1-7 23:38
[硬盘空间不多了,大致拾掇一下,发现一些资料,分类上传]CPLD&FPGA篇 精华 digest  ...23 china_china 2008-7-19 22439018 yayagepei 2014-1-7 19:08
关于FPGA倍频的问题 image056 2014-1-3 143730 合体后代 2014-1-7 16:09
要观测的信号周期太长, signaltapii抓取不到完整的一个周期... attach_img mayo20102012 2014-1-6 8889 合体后代 2014-1-7 11:11
求助,问一个IIC的从机的testbench的延时#不起作用的问题 attach_img daniellee1226 2014-1-7 11021 daniellee1226 2014-1-7 01:26
`timescale 1ns / 1ps 仿真时间刻度问题 ? atom100 2014-1-6 44953 atom100 2014-1-6 23:22
多项式乘除法逻辑是怎么实现的? attach_img mirrormask 2014-1-6 01013 mirrormask 2014-1-6 21:27
FPGA初始化数组 WXing 2009-12-23 135549 此人较为厉害 2014-1-6 19:12
EP4C 的AS下载出问题,找不到原因 ? attach_img atom100 2013-12-23 31017 amote 2014-1-6 15:15
求助,modelsim仿真,对输入信号初始化了,还是出现stx 新人帖 attach_img geo1216 2013-12-27 113970 cxhy 2014-1-6 09:38
MSP430F147软核实现与使用 新人帖 attachment Tiy 2013-12-31 231524 yongxiangu 2014-1-5 21:19
新人求推荐比较经典的FPGA书 FK1174 2014-1-4 21095 子鱼 2014-1-5 10:14
电路板的电源设计,大家有用固态电容的吗? attachment german010 2013-4-25 132361 dou_yuyu 2014-1-4 11:35
做一个简单视频监控(SAA7111+FPGA+DA+显示器(VGA接口)) hbcb5676805 2010-2-26 2811846 lichuang是好人 2014-1-2 01:10
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 03:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块