搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10376|排名: 35 

作者 回复/查看 最后发表
verilog中的缩位运算符|是不是很占逻辑资源? zxq6 2014-6-7 43259 zxq6 2014-6-13 20:23
视频解码芯片解码问题 attach_img aikimi7 2014-5-7 71259 sungngai 2014-6-13 15:30
5分钟学会使用CPLD.pdf 精华 digest  ...2 rong2005 2006-6-10 13335047 xymbmcu 2014-6-12 22:02
求助:Cordic算法计算反正切,再通过自定义指令整合到nios... yuloong 2014-6-12 0915 yuloong 2014-6-12 18:12
verilog中给存储器数组赋值,综合总有错误,请教一下大家 baojiaqi 2014-6-11 163938 biansf2001 2014-6-12 15:56
[ZT] cordic算法的Verilog实现---申请酷帖 418478935 2012-3-26 62656 Sunny_em 2014-6-12 11:03
VGA视频格式转换处理 - 项目外包 新人帖 liufan2007 2014-2-22 91699 starli 2014-6-12 10:53
FPGA做的PAL视频采集系统。 attach_img YFM 2014-5-24 162683 bailangcn 2014-6-12 10:11
请教各位:MAXII EPM240内置弱上拉如何开启呢? kickdown 2014-6-9 3968 kickdown 2014-6-11 23:46
FPGA芯片发热,但jtag as 下载都是正常的。 电子爱好者ABC 2014-6-10 141541 电子爱好者ABC 2014-6-11 22:02
fifo串口连续写入出错,已经纠结三天了 attach_img sungngai 2014-4-19 51260 iwqt1983 2014-6-11 16:18
新做: cyclone III FPGA 最小系统板 Fpang 2010-12-13 3612137 明泰明泰 2014-6-10 20:07
FPGA 7寸液晶屏 ucGUI移植 源码奉献 attach_img huchl 2014-4-15 213106 huchl 2014-6-10 19:33
FPGA新手求助:WARNING: No extended dataflow license exists attach_img yixin1851 2012-7-27 1015024 yixin1851 2014-6-10 14:12
10uF的电容, 有陶瓷和钽电容 两种,选择哪个好? attach_img german010 2013-8-23 364733 szman5108 2014-6-9 20:37
FPGA论坛最近冷清,发帖讨论一下XILINX7系列 FPGA 开发板的价位 dspsharc 2013-12-9 184146 wjfblack 2014-6-8 22:53
用ALTERA的University_Program的IP核在NIOS下驱动不成功. 新人帖 attach_img ashely 2014-6-8 0909 ashely 2014-6-8 21:14
-------------- 为什么FIFO仿真输出总是高阻态啊?------------ attach_img xyzabc 2014-6-7 11761 xyzabc 2014-6-8 16:49
通过SOPC的DMA将IO口输入的AD采样值传输至SDRAM,DMA时钟是什... attach_img frankshen 2014-6-8 0808 frankshen 2014-6-8 16:22
求助!Xilinx xc6slx150型号FPGA外接SPI flash在低温时无法启动! chen7055909 2014-5-29 385226 simmconn 2014-6-7 08:42
开源 新鲜出炉,16路串口接收模块 attach_img Fourier00 2013-11-16 464065 flzili 2014-6-6 20:39
iCore2 VGA 模块,让同事录了几个教学视频让大家看看 attach_img aureole 2014-4-20 212642 chun2495 2014-6-6 15:40
多引脚BGA封装的FPGA两种不同的FANOUT方法对比 新人帖 attach_img TGDong 2014-4-23 72210 denglisong 2014-6-6 13:43
QuartusII9.0里的NCO ip核用不了咋办? mayo20102012 2014-6-5 0695 mayo20102012 2014-6-5 18:33
ISE软件求助 田园牧歌 2012-10-28 91753 bi大痣 2014-6-5 14:34
FPGA/CPLD这东西用的多吗? yijiangshan 2014-6-4 101329 alcohol 2014-6-5 13:28
TSC2046 在没有触摸的时候 触摸值达到2000-4000,怎么回事呢 freshen 2013-5-14 21030 jiankewuying 2014-6-4 15:16
SmartFusion2系统级芯片现场可编程门阵列产品系列 iwqt1983 2014-5-17 61226 iwqt1983 2014-6-4 13:03
基于分布式算法(DA)的FIR滤波器的查找表设计 attach_img FuARM 2014-6-4 1943 FuARM 2014-6-4 12:03
modelsim一仿真就自动关闭 attachment zsz2008610 2013-5-31 178821 lc125253647 2014-6-3 16:37
继续延续基于FPGA的NES移植,求各位感兴趣的一起讨论一下 attach_img liming_nefu 2013-11-18 82099 何汉荣 2014-6-3 09:41
FPGA里的VREF管脚接法 chunleilisky 2013-1-24 88989 peinsc 2014-6-2 20:10
经验分享: 用USB Blaster读写SPI Flash,支持大量型号! attach_img cqsrmxxzyx 2014-4-30 186664 zgxcom123 2014-6-1 10:19
本人收藏的所有SDRAM资料,包括verilog控制代码,原理等等 精华 digest heatlevel  ...2345 wangli1013 2009-5-22 42276440 BrightWang 2014-6-1 10:15
刚推出一个 n 合 1 调试器,给大家过过目 attach_img heatlevel  ...2 aureole 2012-8-8 14115205 andmain999 2014-6-1 10:12
DS1302做的万年历-1602C液晶显示(atmega16-ICC) ljp_03071628 2008-4-12 126700 andmain999 2014-6-1 10:05
AD9288模块调试成功 上电路图和无码大图 attach_img YFM 2013-9-24 274575 andmain999 2014-6-1 10:03
寻做过CCD测量的小伙伴,交流交流 mayo20102012 2014-4-11 272423 andmain999 2014-6-1 10:02
看到有发硕士论文的,咱也共享一下 attachment suifeng_love 2014-4-2 181913 andmain999 2014-6-1 10:02
手把手教你学习FPGA入门系列原创视频教程,不看后悔哟 attach_img heatlevel  ...2 409926778 2013-8-2 12313857 andmain999 2014-6-1 09:57
niosii 下,不同运行频率下流水灯运行效果有何不同? ababvic 2014-5-22 61123 ababvic 2014-5-31 23:17
上传一些有用的资料,适合于新手上路 精华 digest  ...2 hb8421 2007-9-12 11725544 arion 2014-5-31 19:03
差点被 Quartus II 9.1 与 10.0~10.1 害死了 sky5566 2012-10-6 245309 amigenius 2014-5-31 18:23
新年大礼学习FPGA语言篇Verilog 精华 digest  ...2 bynce 2008-2-7 13827031 Micheal_J 2014-5-31 16:12
AD9288双通道模块的四层PCB到了 attach_img YFM 2013-9-17 253581 iwqt1983 2014-5-31 15:20
脉冲控制器项目外包 attachment szmachine 2014-2-28 563820 iwqt1983 2014-5-31 14:27
关于FPGA与430通信的问题 新人帖 武文奇 2014-5-29 151271 mengf 2014-5-30 14:56
求XC3S50AN的原理图 yypdou 2010-11-19 33654 1814736395 2014-5-30 10:44
vga驱动频率计算 sanliuyaoling 2014-5-28 41113 cxhy 2014-5-30 10:32
verilog的数据接收分析 状态机? atom100 2014-5-29 1985 zhangfeng0115 2014-5-29 16:01
请大家推荐一个百兆网的芯片 准备用altera的fpga wangqqqqqq 2012-4-25 143049 337zhang 2014-5-29 09:26
在cyclone iv上使用DDR2 iP核,管脚是手动分配还是自动? atom100 2014-3-26 113837 atom100 2014-5-29 07:03
nios嵌入的硬件乘法器,在使用时,用C语言怎样写? yuloong 2014-5-20 71282 yuloong 2014-5-28 20:39
芯创电子FPGA开发板配套教程 新人帖 attachment heatlevel 409926778 2013-4-8 649123 1814736395 2014-5-28 09:41
异步FIFO写入数据问题 DVI控制时序 新人帖 Lydon 2014-5-25 112079 zkf0100007 2014-5-27 16:50
用FPGA做DDS信号源的时候频率分辨率如何能达到1Hz? zcy0517 2014-4-27 81522 全频阻塞干扰 2014-5-26 20:39
quartusII 9.1如何破解,求解 attachment pengyuan0820 2014-5-26 1917 prow 2014-5-26 16:22
Verilog HDL 和各种仿真软件 pengyuan0820 2014-5-13 81841 pengyuan0820 2014-5-26 15:38
quartus ii 13.1 ==== 为什么芯片分配总提示。。。。。 xyzabc 2014-5-26 0824 xyzabc 2014-5-26 11:31
正弦内插滤波器 attachment lgeorge123 2014-5-22 31544 grantlu 2014-5-25 18:49
FPGA学习 新人帖 zhangfeng0115 2014-5-25 31014 zkf0100007 2014-5-25 15:42
寻求搭伙人一起做SPARTAN 3A开发板 新人帖 neurolinker 2014-4-22 434182 neurolinker 2014-5-25 15:07
数码管显示二进制转换为bcd 德玛西亚 2014-5-24 21046 德玛西亚 2014-5-25 00:01
我要用CPLD实现360ns延时,66ns计数,用什么器件好。 wuxihuaxing 2014-5-24 41217 kebaojun305 2014-5-24 19:34
数字系统设计教程 (夏宇闻 ) 源代码 attachment mysky 2012-4-2 11109 qjs412_avr 2014-5-24 11:04
晒晒virtex-5高端FPGA板(有图) liurangzhou 2010-1-12 148621 zkf0100007 2014-5-23 22:45
USB Blaster 下载 lskybs 2014-4-25 71476 lskybs 2014-5-23 18:23
自己画的fpga板子 jtag连不上 attach_img theloong 2012-9-12 73264 合体后代 2014-5-23 15:25
altera FIR滤波器IP核能实现希尔伯特滤波器吗 Mortimer 2014-5-23 1871 lologame 2014-5-23 12:06
FPGA与ARM连接引脚振荡的问题 新人帖 李文翔 2014-5-22 131206 李文翔 2014-5-23 01:43
付费找高手开发基于CPLD/FPGA的脉冲控制器 attachment szmachine 2013-1-5 516596 szmachine 2014-5-21 16:57
在verilog里面,如果同一个信号需要在两个时钟来驱动怎么... cxhy 2014-5-11 111562 cxhy 2014-5-20 20:58
V5的FPGA采用SelectMap方式烧flash芯片怎么这么慢的 jlqamark 2010-11-19 74487 afeionline 2014-5-19 22:48
我想用FPGA设计一个DDS,怎么进行管脚恩分配和时序约束啊? liliana 2012-3-29 132343 liuhuancz03 2014-5-19 19:33
fpga ram定制 可以用mif数据初始化 每次fpga上电都会加载吗? vipqaz 2014-5-18 3951 zkf0100007 2014-5-19 15:01
为什么CPLD比FPGA更适合做高精度定时器? 南孤雁2010 2014-1-3 194085 caoxuerji 2014-5-19 09:46
发一个利用FPGA驱动VGA显示屏的思路,附带伪代码 attach_img cxhy 2014-3-19 101966 lisgo 2014-5-19 02:58
fpga怎么可靠得接收串口数据呢? yxm433 2014-5-16 51146 uindex 2014-5-17 23:41
NIOS II/f时钟频率最快能到多少?我的超过100MHz就无法下载了 bluehans 2011-8-26 99157 dellric 2014-5-16 21:27
lattice ISPDOWNLOAD mcuprogram 2014-3-19 51247 mcuprogram 2014-5-16 15:50
使用nios中lcd1602 驱动器 搞定1602 kimiyang 2009-5-31 128003 Lz199222 2014-5-15 19:23
如何在altera官网下载源码 郭俊文 2014-5-13 2996 郭俊文 2014-5-15 17:20
信号发生器产生方波,为何有明显毛刺? attach_img zcy0517 2014-4-25 92179 lanting1025 2014-5-14 20:39
请教:用modelsim仿真的时候能把输出的值用十进制显示出么? attach_img baojiaqi 2014-5-2 51235 浮云残雪 2014-5-14 19:04
请教xilinx管脚约束的问题 attach_img jssd 2014-5-14 81875 sdu1028 2014-5-14 11:10
多周期约束问题求解 attach_img aikimi7 2014-5-14 0982 aikimi7 2014-5-14 08:39
如何配置FPGA的引脚电平 attach_img user_ourdev 2014-5-13 32835 user_ourdev 2014-5-14 08:18
FPGA 和 NIOS2 之间可以通过一块RAM交互数据么? fangying712 2014-5-6 121421 wangpengcheng 2014-5-13 22:29
请教一个关于CRC校验的碰撞概率,以及其可靠性的分析 attach_img wangshaosh123 2012-5-23 1815463 wangshaosh123 2014-5-13 18:23
Xilinx MCB中DDR2地址和数据如何对应? attach_img dxzky 2014-5-13 0938 dxzky 2014-5-13 17:07
初学做了个高斯白噪声模块,但不会约束,求指导 attach_img sungngai 2014-5-2 61494 pengyuan0820 2014-5-13 15:34
为一直纠结于VHDL还是verilogHDL的人提供解决方案 xiaomifeng 2011-8-25 182875 pengyuan0820 2014-5-13 15:24
谁有MT29F64G08CBAAAWP芯片100脚的BGA封装? 新人帖 Trancho 2014-5-12 2853 Trancho 2014-5-12 21:07
关于延迟信号相与的问题 attach_img zcy0517 2014-5-12 0796 zcy0517 2014-5-12 19:09
初学者请教,怎么知道哪些pin是同一个BANK的 attach_img 烂泥桑 2014-5-1 81809 pengyuan0820 2014-5-12 17:43
ALtera的ARM SOC FPGA开发板SoCKit 感觉知名度非常不高啊 超群天晴 2014-5-11 81644 kutf 2014-5-12 14:56
FPGA通过phy一直往计算机发数据,网卡利用率不满? zxq6 2014-5-11 1916 hxl_led 2014-5-12 09:22
求CYCLONE IV挂一片16位DDR2的代码参考,谢谢 新人帖 tiny10000 2014-5-10 41118 cyusb3014 2014-5-12 08:58
关于一个VHDL元件例化写全加器的程序 TerrenceYu 2014-5-11 01053 TerrenceYu 2014-5-11 19:10
altera的cyclone ii 、 iii 、 iv的FPGA封装相同吗? attachment german010 2013-5-16 72403 charlesg 2014-5-10 10:16
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 01:26

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块