搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10376|排名: 35 

作者 回复/查看 最后发表
CPLD assign的延迟问题 wangjun403 2014-8-21 42096 yuyu87 2014-8-26 19:02
xilinx FPGA选型,求介绍 jssd 2014-8-16 51243 jssd 2014-8-26 14:28
cordic算法实现出现的问题 attach_img aikimi7 2014-8-22 31076 aikimi7 2014-8-25 19:33
有人开发过PCI9054吗? xjfostrich 2014-8-24 21043 xjfostrich 2014-8-25 16:45
ALTERA usb下载线、Lattice usb下载线DIY套件 CPLD+CY7C68013 muok 2011-7-31 147012 muok@sohu.com 2014-8-23 22:46
请问 altera的fpga 比如ep4ce10的管脚 能否直接接地? attach_img atom100 2014-8-23 41881 muok@sohu.com 2014-8-23 22:25
Quartus II 软件13.0简介 sky_prince 2013-5-7 4514306 orson 2014-8-23 15:57
京微雅格 2014年CME-M7(华山)新品巡演及应用技术研讨会 iwqt1983 2014-6-20 42165 iwqt1983 2014-8-23 11:20
关于DDS的疑问:频率稳定性是指信号单周期还是指平均周期? attach_img centrineer 2014-8-11 152015 zhcj66 2014-8-23 08:19
要疯了...altium designer中FPGA引脚分配和引脚交换 attach_img yefeng022 2014-8-21 104379 ice_lyb 2014-8-22 17:06
求助VHDL代码优化设置 haizheng4 2013-5-30 32165 bdzhaojing 2014-8-22 13:35
RGMII接口电平问题 albertshen 2011-11-10 15566 jackiezeng 2014-8-22 09:03
Altera DE2-115;主芯片为Cyclone® IV EP4CE115;配套光盘,124.62MB;例程、操作 tear086 2010-8-20 6720797 xjf616 2014-8-21 18:28
pci-e的FPGA开发板 attach_img flzili 2014-8-16 202760 ice_lyb 2014-8-21 17:02
fpga嵌入8051 ip核 lv123123123 2011-12-12 133104 ice_lyb 2014-8-21 17:00
求一EP2FPGA 8051内核 完整测试程序 40130064 2010-4-4 42973 ice_lyb 2014-8-21 16:59
请问ISE14.4中8b10b编解码IP核aurora 8b10怎么是灰色的 attach_img gginhouse 2014-8-20 81889 gginhouse 2014-8-21 16:03
求高精度频率产生方法 colinzhao 2014-5-9 382521 songshanxiaozi 2014-8-21 11:41
altera的带avalon总线接口的控制器的 使用? atom100 2014-8-21 1913 DepravedLucien 2014-8-21 09:42
Spartan6加FX3做的核心板 attach_img wildgoose0712 2014-8-16 323578 bangtian 2014-8-20 21:25
请教:SSD1963驱动5.6寸彩屏出现细微抖动问题? myfriend6042 2011-11-25 73912 fengshao1370 2014-8-20 20:44
开源处理器OpenMIPS正式开讲 attach_img heatlevel leon1984 2014-7-23 573858 leon1984 2014-8-20 13:32
请问我再quartus12.0中调用ddr2,配置altmemphy不能确认 gginhouse 2014-8-20 0796 gginhouse 2014-8-20 10:26
如何在quartus ii中调用modelsim-altera仿真*.bdf文件? hy2515131 2013-2-25 63951 深海烟花 2014-8-19 15:58
如何把程序通过SPI烧录到fpga里面。 路人甲被注册了 2014-8-19 11060 semonpic 2014-8-19 10:42
【求助】verilog实现TCP/IP协议 南孤雁2010 2014-8-18 163849 南孤雁2010 2014-8-19 08:34
DDR2访问效率是多少 ? atom100 2014-8-16 31103 atom100 2014-8-19 02:05
目前,用FPGA可以做哪些比较有意思的东西? 随风_98 2014-8-18 232805 lisgo 2014-8-19 00:50
求带硬核的fpga开发板 yefeng022 2014-8-14 41353 mcupro 2014-8-18 20:53
使用XC3S50A-4VQG100C做个模块,求经验介绍 jssd 2014-8-18 1776 mcupro 2014-8-18 19:39
Quartus II 12.1和谐文件 attachment kavinsun 2012-11-30 279011 peixiuhui 2014-8-18 16:34
TFT屏的DE驱动模式问题。 attach_img thzbigone 2014-8-18 1977 thzbigone 2014-8-18 14:06
如何知道一些奇葩分辨率的时序? attach_img sungngai 2014-8-15 71656 蓝色风暴@FPGA 2014-8-16 09:21
求助:FPGA AS不能下载 (JTAG是可以的) sungngai 2014-8-11 91453 sungngai 2014-8-15 12:27
CAN协议,给需要的---- Tomas_Yung 2011-4-17 467342 star_tale 2014-8-15 11:52
谁用过max7000s系列的,IO输出不了5V attach_img shmiljys 2014-7-8 101663 chenchaoting 2014-8-14 18:33
FFT IP核的一些问题 attach_img lqf2060 2014-8-10 2934 lqf2060 2014-8-14 16:43
关于插值滤波器设计时的困惑 justin_n 2014-8-13 1836 tohell 2014-8-13 20:59
CPLD烧写错误 !Error: Unknown integrity checking failure! jslx 2014-8-13 1688 jslx 2014-8-13 14:28
新焊了 一块cycloneIII的板子,检测不到器件!!! attach_img Z393072 2014-8-4 192615 Z393072 2014-8-12 22:52
CPLD+TFT的PCB图片!接昨天的 flyforyou85 2011-3-25 147142 wenjun484 2014-8-12 15:28
关于特权同学中按键消抖的一个问题。。。 天堂,你的歌 2014-8-12 2906 天堂,你的歌 2014-8-12 10:06
请问大侠如何使用8051ip核 呀? 281229961 2010-9-18 166093 mcuprogram 2014-8-12 10:06
【开源】FPGA竞赛实战系列套件资料(外挂模块可达10多块) attach_img heatlevel navalguy2 2013-6-15 869956 navalguy2 2014-8-12 09:41
有人用ise写过fpga的程序没,求合作 xiaowenjian 2014-7-21 61465 孙风雷 2014-8-12 09:35
请问有人有Libero 9.1或以上的license吗 zhanshenguilai 2014-7-31 101903 gwj221 2014-8-11 19:26
assign声明的减法为什么会被合成为DSP? thought224 2014-8-5 71302 thought224 2014-8-10 19:53
多个LOGIC_CELL_COMB模块被当做传输路径,timequest不满足? atom100 2014-8-7 22091 atom100 2014-8-10 10:02
Altera Cyclone的FPGA上电后所有引脚的都会有个低电平脉冲吗? 天堂,你的歌 2014-8-4 31214 yuyu87 2014-8-8 21:46
手把手教你写异步FIFO attachment cxhy 2014-7-25 262675 carollim 2014-8-8 17:05
Cyclone M4K速度 l52wm 2014-7-21 71435 honeybear 2014-8-8 15:00
关于在signaltapII使用一点疑问。 路人甲被注册了 2014-8-4 1693 honeybear 2014-8-8 14:56
不用的SDRAM 腳 lgeorge123 2014-8-8 6960 honeybear 2014-8-8 14:53
请教,如何在CPld中用原理图实现时钟延时 attach_img jslx 2014-8-6 141520 jslx 2014-8-8 08:42
初学FPGA,跟随特权的视频,但是按键消抖的历程仿真不成功 attach_img wzd5230 2014-7-30 112263 wzd5230 2014-8-6 21:18
求助:怎样在Verilog程序中特意隐藏bug xuwenqiang 2011-11-3 142622 Fourier00 2014-8-6 20:32
timequest内部的寄存器之间的时序约束仅约束时钟吗? atom100 2014-8-5 1745 Fourier00 2014-8-6 19:38
组合按键问题 feifeimao 2013-5-9 21009 isakura 2014-8-6 15:04
为什么ISE14.7编译,点击Generate Programming File不能生成bit文件 新人帖 attach_img 寻戈尔007 2014-8-5 61779 寻戈尔007 2014-8-6 10:05
晒一晒我的幸运礼物——FPGA黑金开发板 attach_img yayagepei 2014-6-1 152580 jm2011 2014-8-5 18:43
EPM3064ALC44-4用JTAG烧写显示:can't access the JTAG chain 新人帖 attach_img huo_upon 2014-8-4 31151 huo_upon 2014-8-5 12:56
急救,max3000A为何不能下载程序?下载电路如何配置?【恢复】 ljyjjq 2008-12-31 32747 huo_upon 2014-8-4 19:23
急救,max3000A为何不能下载程序?下载电路如何配置? attach_img shykai 2012-12-25 51073 huo_upon 2014-8-4 19:05
altera的CPLD芯片模块连线也占用LE资源? guaiguaima 2014-8-1 111549 as9901 2014-8-4 18:39
求助:2C20/EPCS16 JTAG下载.jic到epcs16成功,但是系统重新上电配置不成功。 wzj0713 2010-10-12 86552 g3382738 2014-8-4 10:01
咨询quartus ii (13.1)中,在QSYS调用DDR3的步骤,详细的参数配置 attach_img shafei 2014-7-17 123329 qt11 2014-8-3 16:25
FPGA开发经验之generate的用法以及条件编译 cxhy 2014-7-13 51439 hushaoxin 2014-8-2 16:29
verilog和VHDL,altera和xilinx哪个是主流啊?哪个好啊? xtqxtq111 2011-8-26 5413649 flyfox8 2014-8-2 09:40
用modelsim仿真,必须用testbench吗? 天堂,你的歌 2014-8-1 51358 redroof 2014-8-1 20:32
Verilog请教 sanliuyaoling 2014-7-9 7931 合体后代 2014-8-1 19:25
说说今天自己FPGA 实验出现的问题吧 湛泸骏驰 2014-5-6 141231 flyfox8 2014-8-1 17:29
FPGA的加密方法 liming 2011-9-24 208208 qt11 2014-8-1 16:28
verilog定义了一个parameter,然后赋值 是啥意思? attach_img atom100 2014-8-1 72006 cxhy 2014-8-1 10:20
PCIE硬核选的是X4,实际速度却只有X1的速度 蓝色风暴@FPGA 2014-7-31 31136 gestion 2014-8-1 09:00
quartus ii 11.1 提示错误 attach_img bdzhaojing 2014-7-30 5798 bdzhaojing 2014-8-1 08:47
FPGA开发快速切入 attach_img holts2 2014-7-21 171879 dongwei123 2014-7-31 22:22
夏宇闻教授的Verilog基础视频教程 weibinhi 2011-11-28 1713248 gongshu 2014-7-31 16:51
opencores 账号无法注册 attachment dlpg 2014-7-16 165917 dlpg 2014-7-31 09:55
自制开源软核处理器OpenMIPS实践版发布,附讲解视频 attach_img heatlevel leon1984 2014-1-6 444211 xmu234 2014-7-31 09:48
小白求救,关于Quartus的waring(10240) cdysjw 2014-7-23 11000 l52wm 2014-7-30 15:52
Altera FPGA sof正常使用,但是下载pof文件就无法正常使用。 aqjinhu 2014-7-22 72313 liyusnoopy 2014-7-30 10:14
求助:关于FIFO的输出端重复读取数据的问题。 attach_img yuloong 2014-7-29 231978 putty 2014-7-29 23:03
SRAM可以拉低WE连续写入吗? attach_img mute 2014-6-25 61273 DOER 2014-7-29 19:09
请教个未使用的rst引脚问题 wangjun403 2014-7-28 12975 aikimi7 2014-7-29 17:35
请教:关于锁相换问题? attachment njgwx 2014-7-28 111061 alias 2014-7-29 16:26
曼彻斯特I型编码加CRC循环码,仿真通过 attachment mayo20102012 2014-7-12 61327 flyfox8 2014-7-29 12:23
长期开源计划:xilinx spartan 6 SDI视频开发板 attach_img heatlevel bubujkjk 2012-3-10 9214478 starli 2014-7-28 17:13
上本好书,英文原版的---Wiley__Advanced FPGA Design lanliang714 2011-6-2 82667 flyfox8 2014-7-28 17:00
sram乒乓操作采集saa7113数据,怎么搞啊,求救呀 attach_img 孙风雷 2013-4-14 91878 孙风雷 2014-7-28 14:40
一个关于IODELAY2可变延时的问题 attachment 华丽的转身 2014-7-25 11947 华丽的转身 2014-7-28 11:27
一个晶振输出给四路AD芯片,需要时钟缓冲器么? yirenonege 2014-4-21 11156 让爱随风 2014-7-28 09:00
增量式光电编码器计数器程序 yngufeng 2010-8-12 4915172 coolamber1 2014-7-27 21:38
求一款cycloneⅢ芯片的电源芯片 新人帖 jinjq 2014-7-26 51090 cmos2345 2014-7-27 12:21
ov7670+fpga+tft终于可以直接显示视频了 attach_img mayo20102012 2014-1-11 456651 hm873 2014-7-27 01:07
关于线阵CCD TSL1401R-LF的问题 attach_img 心晴雨亦晴 2014-7-18 41288 痞子_雄 2014-7-26 15:30
altera cyclone iii 系列外接TI的pci-e phy 有成功做出的没? aqjinhu 2013-7-12 21302 jiangchun9981 2014-7-26 10:15
莱迪思ECP5产品系列又添新成员 性能提升 iwqt1983 2014-7-26 0898 iwqt1983 2014-7-26 08:16
求助:用Verilog写程序时,如何解决两个模块之间输出信号... yuloong 2014-7-23 122906 chenhaimeng123 2014-7-25 10:59
在cyclone iv 上调试DDR2,发现mclk_n一直为0? atom100 2014-7-25 01033 atom100 2014-7-25 00:29
QII中的Timequest报警问题 attach_img xhudiao 2014-7-24 0783 xhudiao 2014-7-24 15:49
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 01:37

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块