搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10376|排名: 33 

作者 回复/查看 最后发表
求教:购买fpga还是cpld开发板? 远方的呼吸 2014-11-30 362233 billmcu 2015-1-7 20:57
数据传输有效信号,是在下降沿给,还是上升沿给? attach_img atom100 2015-1-6 8968 atom100 2015-1-7 13:17
请教下FPGA的配置芯片工作原理和作用 zhupingheng 2010-4-22 33150 zkf0100007 2015-1-7 13:04
verilog阻塞赋值 能否用到时序逻辑中 ? atom100 2015-1-5 155537 xieyudi 2015-1-7 12:26
对于FPGA的学习,如何才算是入门? yuloong 2014-12-9 211695 yuloong 2015-1-7 11:02
黑金FPGA学生板的教程,供大家享用 attach_img hankls0007 2014-9-7 433173 lu976046395 2015-1-6 16:46
分享文档《如何使用DDR2 SDRAM》,百度文库中一个下载劵下.... attachment century11 2014-9-12 212053 benhaha 2015-1-6 15:05
请问:如果使用FPGA实现51单片机这一功能,可将其划分为... yuloong 2015-1-4 4883 7802848 2015-1-6 13:10
FPGA学习该怎么入门 zhangfeng0115 2014-12-13 71009 nanjing 2015-1-5 10:56
有CSND账号的朋友,麻烦帮忙下载一个文档 attachment AVRTDK 2013-9-28 61301 only_lu 2015-1-5 10:15
NIOS性能还是很强劲啊比6410强悍、 nibia 2014-6-23 275144 NJ8888 2015-1-4 19:20
求解:行转置和整个窗口转置的,对应在实际应用中的不同 huabutterfly 2015-1-3 0656 huabutterfly 2015-1-3 20:03
初入FBGA,请教一些SignalTag ii 仿真的问题 attach_img 潇湘夜雨 2015-1-1 3995 wye11083 2015-1-2 08:56
带同步时钟的SRAM的IO口约束问题? atom100 2014-12-31 1768 RUANJI 2014-12-31 18:32
FPGA读写NAND FLASH的问题 attach_img ximuyi 2014-7-2 63845 yaxiaoyu 2014-12-30 13:59
请问:2级寄存器方法,适用于什么情况? yuloong 2014-12-30 1829 zkf0100007 2014-12-30 12:10
FPGA 控制 sja1000 opencore can 软核 attachment woniu158 2013-5-16 165329 胡说大道 2014-12-30 09:32
FPGA的内部对时序的约束 只能 约束时钟吧? atom100 2014-12-29 2740 stdio 2014-12-29 01:12
请教《自己动手写CPU》问题——已解决 attach_img LiuCA 2014-12-27 62742 LiuCA 2014-12-27 19:35
出售 闲置板 attach_img heatlevel nyc1125 2014-11-20 704603 lanting1025 2014-12-26 16:00
FPGA中搭建双处理器NiosII不能同时运行问题求解 attach_img Animaling 2014-12-25 0726 Animaling 2014-12-25 22:51
实验:用C开发FPGA webserver实现网页控制LED及读取开关状态 attach_img hxl_led 2014-12-25 91649 Nuker 2014-12-25 20:12
请教原厂开发板DE2-115和SoCKit C5S对比 liyang53719 2014-12-23 61999 chun2495 2014-12-24 17:19
求简单实用的FPGA远程更新方法 maxwelllls 2014-12-23 182652 muok@sohu.com 2014-12-24 15:24
SDRAM的Data-out hold time (load)和Data-out hold time (no load)有啥区别? attachment lanseiboy 2014-12-19 2798 lanseiboy 2014-12-23 14:08
数控插补原理 40130064 2010-7-19 174726 jungleyang 2014-12-22 15:55
Cyclone IV 使用EPCS固化NIOS 程序的奇葩问题(整个人都不好了... fangying712 2014-4-17 127842 mrlee866 2014-12-22 14:39
关于分频或任意分频的一个算法(用于采样\时钟同步等应用) wqsjob 2014-12-17 4927 Fourier00 2014-12-20 19:13
Verilog那些事-建模篇中的LCD实验字模提取的疑惑? yuloong 2014-12-18 3937 ssaiwo 2014-12-18 21:12
Xilinx新出的Artix-7 Families系列上市了没? scar 2014-11-9 262847 earlybird_bj 2014-12-18 20:56
视频流处理中用DDR2 sdram作帧缓存,主控用DSP好还是FPGA好? dxzky 2014-12-2 221885 dxzky 2014-12-18 00:06
软件交流-记得以前看到过可以运行在安卓系统的FPGA设计软件 wqsjob 2014-12-17 1759 wye11083 2014-12-17 23:48
求代码 关于CORDIC算法的Verilog实现 attach_img shengzetam 2014-12-15 163602 chenchaoting 2014-12-17 14:34
一个困扰很久的问题,关于TFT+SDRAM控制器的 oceanheart 2014-1-24 185128 wenjun484 2014-12-16 12:41
一个高速串行通信的问题 attach_img wjfblack 2014-12-5 171575 only_lu 2014-12-16 09:47
Quartus ii v14不支持Cyclone II了? attach_img GunGun 2014-12-12 344384 john78 2014-12-15 21:54
如何做基于FPGA的OFDM通信系统基带设计 Monklong 2014-12-13 8957 far_infrared 2014-12-15 10:48
quartusII对AS口进行烧写程序直接停止 attach_img only_lu 2014-11-27 11940 only_lu 2014-12-14 11:09
求好一点的quartus时序约束的学习资料,最好是视频的 haohouse 2012-9-8 11164 mobile02 2014-12-14 10:27
CPLD抗干扰有多强?受干扰后会出现死机吗? ahong2hao 2014-12-13 91923 zxq6 2014-12-13 16:00
全网首发6年前论文和代码,32位5级流水线MIPS软核VERILOG实现 attachment heatlevel mcupro 2013-8-8 597053 LiuCA 2014-12-13 14:31
解决Quartus II软件中文注释乱码的问题 LVmcu 2014-12-7 137293 linxiaoutziCCCP 2014-12-13 11:24
GTP_XAUI通道绑定弹性缓冲器的问题 attach_img lpandadp 2014-12-11 121125 zkf0100007 2014-12-13 07:52
quartus编译SRIO核时出现了license限制问题 attach_img rowen800 2014-12-4 2924 cxhy 2014-12-12 16:35
NIOS在两个DMA同时工作时,会复位,哪位大侠知道原因? falcon8663 2014-12-3 101224 falcon8663 2014-12-11 22:53
除了使用FPGA实现DPIM编解码,有没有集成的DPIM编解码芯片 melo199281 2014-12-11 0757 melo199281 2014-12-11 21:52
请问如何在quartus ii原理图界面下分配总线? attach_img 苏杭 2014-12-9 103111 zaldy30 2014-12-11 18:48
Lattice MachXO2系列CPLD适合做手持设备,不知道供货怎样? attach_img Codoox 2014-2-26 292779 muok@sohu.com 2014-12-11 16:15
“鸡”和“蛋”的逻辑让Lattice提速创新 iwqt1983 2014-9-1 142128 Wisen 2014-12-11 01:19
调查lattice的FPGA ewb2002 2012-10-15 186717 lansen0815 2014-12-10 23:48
LATTICE Diamond3.3_x64 官网最新版 justloong 2014-10-30 134046 lansen0815 2014-12-10 23:34
求助DDS中低通滤波器的设计 attach_img jason0726 2010-8-7 4011351 lanting1025 2014-12-10 21:30
8个SPI通信,FPGA可以胜任吗? yushiqian_2012 2014-3-4 294258 GunGun 2014-12-10 20:52
VHDL Verilog 编程规范(华为手册)  ...2 xu2006 2009-4-17 11722911 gnocy 2014-12-10 14:05
刚到的两套 Kintex-7 FPGA KC705 开发套件 新人帖 attach_img heatlevel nyc1125 2014-11-19 564035 zhilu 2014-12-10 12:29
这周五考FPGA 了,好悲催啊! zsikuan 2014-12-1 622818 zhilu 2014-12-10 12:26
使用FPGA设计一个简化版51单片机,大家有什么想法,一起... yuloong 2014-12-9 41042 richards 2014-12-9 20:38
编译NIOS的时候出现了问题... attach_img zgbkdlm 2014-11-24 31018 mrlee866 2014-12-9 15:00
分享一个XILINX版的NES,实测可用 zkf0100007 2014-10-3 322589 tomyoct 2014-12-9 13:49
Altera原版PCI开发板原理图EP2C35,不知道论坛有没有,我贡献了。 qinguodong1 2011-11-3 163684 vipeinstein 2014-12-9 12:23
请问谁能共享一下altium用的xilinx artix-7 的lib吗? sme 2014-12-9 1920 sme 2014-12-9 09:54
Altera官方最新教程 liuxi1989 2014-12-8 242132 liuxi1989 2014-12-9 09:43
在PCI9054热写EEPROM时的疑惑 fengshaxue 2011-2-22 47578 catshit_one 2014-12-9 09:13
分享自己做的FPGA最小系统板,XC3S700A attachment 365026266 2014-12-4 302076 sme 2014-12-8 22:04
求推荐一本FPGA例程的书! 新人帖 wangzh 2014-12-7 61352 zkf0100007 2014-12-8 19:59
求助,Quartus 13.0怎么破解Alpha Blending Mixer v13.0 IP核? 新人帖 mrlee866 2014-12-8 01154 mrlee866 2014-12-8 17:23
特权的串口自收发实验代码有问题 新人帖 attachment sosliunf 2012-7-29 102008 steven0419 2014-12-8 14:39
SDRAM(K4S641632)不同长度的突发设置问题 xlht 2014-11-8 31453 xlht 2014-12-6 21:20
if.. else..的使用 bdzhaojing 2014-10-21 303413 wangzh 2014-12-6 18:56
怎样QUARTUS II 的ROM内容用KEIL 产生的HEX文件初始化 attach_img 苏杭 2014-12-3 143240 苏杭 2014-12-6 16:31
同样的代码,不一样的仿真结果? attach_img PADS菜鸟 2014-12-5 31133 get500wan 2014-12-6 11:55
V5_GTP_XAUI_仿真问题 attach_img lpandadp 2014-12-5 1694 zkf0100007 2014-12-5 19:56
初步写了个V5的GTP程序,但是仿真不出来,求高手 attach_img lpandadp 2014-11-29 211507 lpandadp 2014-12-5 09:35
使用高速时钟检测低速时钟信号时丢失边沿问题 新人帖 attach_img 渭水清风1 2014-12-2 91812 putty 2014-12-4 13:37
quartus modelsim联合仿真出现问题,求教...... lqf2060 2014-11-17 37204 Nuker 2014-12-4 12:35
PLL出来的几路时钟是否需要同步? fakeCode 2014-12-2 172059 fakeCode 2014-12-4 11:41
信号完整性资料分享 attachment cao198810 2014-12-3 132067 kongethan 2014-12-4 10:54
Altera FPGA 上电EPCS4配置过程中 普通IO状态 Link_Ling 2014-12-3 74487 Link_Ling 2014-12-3 17:59
Modelsim仿真不出现波形,求解? attach_img sandgull 2014-11-12 31417 steven0419 2014-12-3 17:12
出售友晶fpga开发板de2i-150 attach_img liuhui1987812 2014-1-2 344330 steven0419 2014-12-3 17:09
共享下之前自己寫的Verilog講義 attach_img john800422 2013-7-14 154080 steven0419 2014-12-3 17:06
altera的板子JTAG不能烧程序 ligongxiaobie 2014-10-29 162293 steven0419 2014-12-3 16:54
verilog中always下的有两个if语句同时成立,结果会怎么样? attach_img wjfblack 2012-5-30 219118 steven0419 2014-12-3 14:35
ALTERA真恶,EP3C16,和EP4CE15根本就是马甲 cfqxdgr 2010-8-5 1310269 au8988 2014-12-3 12:19
有没有熟悉NIOSII设计时对话框的输入数据的? 新人帖 attach_img yanmei2014 2014-12-1 6836 yanmei2014 2014-12-3 11:13
杂谈--我眼中的FPGA与DSP【原创】 精华 digest  ...23 henhen2002 2010-7-1 24647053 CMika 2014-12-2 01:20
尋找 differential IO(lvds) speed @ 1G bps 的 FPGA(embedded flash)/CPLD? jarodzz 2014-11-29 71615 全频阻塞干扰 2014-12-1 22:03
FPGA引脚外接功能问题请教 attach_img justloong 2014-11-5 61148 muok@sohu.com 2014-12-1 21:04
请教编码ABZ相脉冲分频的问题 xinxinyu2013 2014-12-1 61345 xinxinyu2013 2014-12-1 15:10
分享Synplify 2013.03 含crack dxzky 2014-11-30 73272 rayman_ppx 2014-12-1 09:50
Quarttus ii 14.0不支持MAX3000A系列的CPLD attach_img xh2008email 2014-11-29 112137 flyfox8 2014-11-30 21:19
FPGA是并行工作的吗? attach_img PSP2000 2014-11-28 394696 huangqi412 2014-11-30 08:02
quartus11与modelsim_ase11安装文档 attachment Krise@pasion 2014-11-29 4999 Krise@pasion 2014-11-30 00:54
你们写状态机一般是几段的?用哪种比较好 attachment banye2010 2014-11-13 172862 dxzky 2014-11-29 22:38
有没用actel smartfusion和smartfusion2的?价格如何,好买吗? albert.hu 2014-10-22 162313 xiaohe669 2014-11-29 18:17
推荐一下FPGA算法方面的书籍? RUANJI 2014-10-30 102021 gwalle 2014-11-29 11:28
据说CPLD解密超级贵? justforfun 2014-11-19 302912 hua911007 2014-11-27 19:37
共享一个PUDN账号 attachment cxhy 2014-11-25 193846 李程宏 2014-11-26 17:07
自适应滤波器的FPGA实现 搬砖的屌丝 2013-8-27 61874 lzh4073198 2014-11-24 20:05
NIOS II中用FLASH programmer正确下载程序,但跑不起来的怪问题,请高手进来帮忙解决一 coolala584520 2010-3-9 238745 mrlee866 2014-11-24 19:56
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-23 23:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块