搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10376|排名: 33 

作者 回复/查看 最后发表
verilog ,请问为啥做这样的改动就能通过编译 辉色天空zs 2017-3-5 52061 zhangalex88 2017-3-5 14:19
vivado的波形监控文件Ltx不连接,FPGA也在监控波形吧? atom100 2017-3-2 01826 atom100 2017-3-2 09:19
说verilog像c的 不要再误导人了 tianxian 2017-1-22 437614 596142041 2017-2-23 23:32
如何用FPGA实现直线插补和圆弧插补控制多轴联动? attach_img 王涛 2017-2-18 198448 3DA502 2017-2-22 12:54
fpga时序分析 1907931256 2017-1-8 122823 aydyjf 2017-2-21 20:14
64Gb/s的数据有办法存储吗?用什么存储器? ziruo2002ab 2017-2-19 213775 下一页 2017-2-21 18:23
弄到一块PCI的FPGA板卡,开始整它 attachment heatlevel modelsim 2012-12-21 408937 xxc007 2017-2-21 11:21
用Verilog实现sccb协议,驱动OV摄像头 attach_img a9191389 2016-1-27 83365 a9191389 2017-2-19 22:47
LVDS 的匹配电阻100ohm 可不可以封装是0402的? 新人帖 attach_img kaka2007f2 2016-5-17 163491 liaihua1997 2017-2-17 22:30
ALTERA和XILINX新型号FPGA封装和原理图库谁有 castiello 2017-2-17 22168 castiello 2017-2-17 22:08
请教过关于xilinx的microblaze的问题,麻烦各位大哥大姐帮帮忙。。谢谢 lsjshengll 2011-8-27 53458 gujiamao_love 2017-2-17 11:03
请教 : 各位用xilinx ISE 如果破解? attach_img huangyiting1990 2016-11-30 72475 xyz543 2017-2-16 11:28
FPGA学习入门书籍怎么选择呢 attachment 刚煜 2014-11-14 183323 sun19890512 2017-2-16 09:43
用EPM570驱动AD9858(1G—DDS)输出500M正弦波不是问题,分辨率可以到0.23Hz,以及测试程序(V yuhang 2008-10-10 2010913 xxc007 2017-2-15 14:40
请教大家一下 很老的芯 GAL16V8B DIP20 封装的15脚可以当输... smaret 2017-2-12 112477 lzgq 2017-2-14 12:18
fpga网络直连arm xycfwrj 2017-2-14 82801 acmilannast 2017-2-14 10:29
CyclonII 和 LatticeXP2對決? harktrip 2011-10-25 63020 最笨的企鹅 2017-2-12 13:58
大家都怎么下载 opencores 里面的代码 mangocity 2017-2-8 62539 辰星和月 2017-2-11 02:36
LATTICE 的开发板怎么那么少 beihai326 2017-1-9 53599 yuan61801987 2017-2-10 09:05
请教一个FPGA实现数码管动态扫描的问题 hehao9051 2016-11-26 21786 yuan61801987 2017-2-10 09:03
手把手教你使用Modsim做仿真 attachment colinh 2012-10-9 63561 xiaopig 2017-2-9 13:51
请教fpga加密方法 attach_img whxiaowang 2017-1-18 586447 huangqi412 2017-2-7 10:22
FPGA配置文件加密 attachment lichao0928 2015-3-22 173748 yf869778412 2017-2-7 09:57
FPGA如何产生随机数 xtxdg 2011-7-4 166379 yf869778412 2017-2-7 09:43
xilinx的FPGA上所带DSP主要是做什么功能的时候用到? atom100 2017-1-21 54291 yf869778412 2017-2-7 09:35
写Verilog代码,感觉那个begin..end好麻烦,比C的{}差远了..T_T lw2012 2016-5-5 579260 Excellence 2017-2-6 17:26
请问在nios ii 中如何将自己写的module与ip连在一起? tombfw 2017-1-25 01352 tombfw 2017-1-25 13:05
准备做lattice ECP3系列FPGA 板子。 attach_img liuxuemin2010 2012-9-4 439120 flyfox8 2017-1-18 10:33
开箱Altera Stratix III 官方开发板 attach_img xiaocat85 2016-8-12 355092 chuanyang 2017-1-17 11:16
用usb并口转jtag有什么要求? boy1986 2017-1-15 51946 wiser803 2017-1-16 08:17
准备做一个CycloneV的开发板,各位有兴趣的来凑个热闹? attach_img SailJune 2014-9-28 413700 chun2495 2017-1-9 14:32
彦阳科技提供-LATTICE开发板原理图测试代码软件使用以及中文资料 edwin 2010-1-6 199298 beihai326 2017-1-9 10:42
Timequest Setup/Hold Summary报错怎么解决? LQS1200 2016-12-31 11469 lanliang714 2017-1-1 20:59
请教如何做FPGA的设计方案和设计规格书 passage110 2016-12-19 92267 passage110 2016-12-30 13:38
电子电气工程师必知必会.pdf liuxuemin2010 2010-4-25 2711036 qq915412051 2016-12-28 12:49
xilinx 的BLOCK RAM的使用问题 ? atom100 2016-12-26 31772 NJ8888 2016-12-27 08:20
超高成本XILINX USB下载线正式发布。 精华 digest  ...23 ngzhang 2009-11-29 25547628 ammcu 2016-12-26 20:19
求助:verilog modelsim 仿真错误 wdynh 2016-12-26 01323 wdynh 2016-12-26 17:50
FPGA的IO脉冲触发问题求解? attach_img chuanyang 2016-12-21 51978 chuanyang 2016-12-26 12:52
vivado HLS如何支持spartan6系列器件 attach_img 耗子董 2014-10-31 67182 xuekcd 2016-12-26 10:59
ise 14.7 使用求教 attach_img 454234682 2015-7-10 132596 xuekcd 2016-12-26 10:47
modelsim se 10.4 FOR win64 百度网盘分享 lans0625 2015-8-5 116908 ammcu 2016-12-25 17:32
我刚用xilinx,是不是 还是xilinx好用?大家感觉如何 atom100 2016-12-12 142797 banye2010 2016-12-24 11:22
求推荐一款带有高速AD DA的FPGA板卡 attach_img banye2010 2016-10-15 123365 banye2010 2016-12-22 20:04
xilinx的sdk开发环境,有木有reset功能? zxq6 2016-12-21 01449 zxq6 2016-12-21 19:09
axi stream,能不能做流控制? zxq6 2016-12-17 31768 zxq6 2016-12-20 23:21
谁有黑金199元的FPGA的光盘资料,分享一份 xyz2008 2016-9-10 52253 csq463276932 2016-12-19 21:48
Verilog大虾能不能帮忙解释下这么句话是啥意思? zxq6 2016-12-18 82824 bad_fpga 2016-12-19 08:39
zynq的lwip,添加一个定时器中断后就罢工了,怎么办? zxq6 2016-12-3 105794 zxq6 2016-12-18 11:35
DDS简易信号源CPLD控制带12位AD和10位DA,DDS输出幅度可控 精华 attach_img digest heatlevel yuhang 2012-8-18 7712971 erdao 2016-12-17 11:44
分享一个基于FPGA和FDAtool的FIR数字滤波器的设计与实现 attachment yanmylbh 2016-9-11 162658 幸福的鱼 2016-12-16 16:02
Xilinx Vivado Design Suite HLx Editions 2016.3来了 GunGun 2016-11-17 153242 simplorer 2016-12-16 07:48
求助:怎样用Verilog实现有限时钟个数的串并转换 wdynh 2016-12-15 62589 ackyee 2016-12-15 22:48
刚开始用xilinx,里面的IODELAYE1里的延迟tap 含义? atom100 2016-12-12 21902 robotfa 2016-12-15 10:38
Libero软件布局布线时改变了之前定义好的管脚 attach_img 一往无前 2016-11-30 51951 xiaohe669 2016-12-13 13:57
XILINX IDDR信号输入以及约束 ? atom100 2016-12-13 01767 atom100 2016-12-13 13:13
看到一个比较老的代码里有CLKBUFX16这个语句? atom100 2016-12-10 01133 atom100 2016-12-10 19:29
秀一下俺做的一款XILINX FPGA电子竞赛套件 精华 attach_img digest heatlevel  ...23456 navalguy 2010-1-3 560105038 yf869778412 2016-12-9 11:27
初学FPGA,做一个正交编器计数模块,在神们有资源吗? attach_img liaub07 2016-10-13 72277 zcf287 2016-12-8 15:31
谁有艾曼图像开发板的资料啊(原理图) attachment mayo20102012 2016-7-6 82216 nipeiyuan 2016-12-6 18:08
我在用FPGA驱动TFT RGB888液晶时,中断信号INT一直不正常,求助 attach_img thy110 2016-12-6 01321 thy110 2016-12-6 15:22
关于Altera FPGA下载及配置的几点问题 attachment wuq_cody 2016-11-29 52029 wuq_cody 2016-12-6 13:20
新手求助:红色飓风EP2C20/35开发板程序下载问题 attach_img yixin1851 2012-8-10 22252 wuq_cody 2016-12-6 13:09
用fpga驱动tft rgb触摸接液晶屏,在DE模式下该怎么用啊 attach_img thy110 2016-12-2 82483 skycomm 2016-12-2 09:54
Xilinx ISE 14.6+Crack Modelsim 10.2c+Crack百度网盘下载 attach_img heatlevel lans0625 2013-10-31 5720958 chenhu2012 2016-12-1 11:08
Processing 没有 Generate Functional Simulation Netlist 这个选项 attach_img wzda_gundam 2016-11-30 01383 wzda_gundam 2016-11-30 20:18
Quartus+ModelSim 经验:不能直接对原理图进行仿真,要转换成... wdynh 2016-11-12 83127 zxq6 2016-11-29 05:20
在QII软件中如何设置才能调用RAM模块资源而不是LE资源?? denike 2011-1-13 107174 xiaohe669 2016-11-28 11:23
pcie基于switch数据交换,但也是多个设备共享信道吧? attach_img atom100 2016-6-21 31676 lansen0815 2016-11-26 20:50
调用fifo 遇到问题 求大神帮忙解决一下 新人帖 杨遮 2016-11-20 31700 杨遮 2016-11-24 16:00
求教EPM240如何实现开关矩阵 xwkm 2016-4-8 152758 LQS1200 2016-11-23 14:49
常见电子类硬件笔试题整理(含答案) attachment orange-208 2012-9-24 254253 汪凯露露 2016-11-23 11:45
请教:FPGA芯片升级了但是只有bit文件如何处理? huangxiaolpbany 2016-8-5 404877 yf869778412 2016-11-23 10:09
求分享黑金开发板EP4CE15的光盘资料 qq开始学单片机 2015-6-26 165003 yf869778412 2016-11-23 09:42
niosii程序固化不了一下载就出问题,求大神解决 guchangwei1991 2016-11-23 01301 guchangwei1991 2016-11-23 00:19
呜呜祖啦滤波器,初步设计数字信号处理,所做的一个题目,望高手指点 maqingbiao 2010-8-7 4210643 l.htlht 2016-11-22 18:07
艾曼 图像开发板的 例程,边缘检测,人脸识别,感兴趣的... attach_img heatlevel nibia 2013-11-21 8612024 yf869778412 2016-11-22 16:14
转载:FPGA 时序分析(一)(二)(三) attachment lkl10800139 2012-5-4 42126 yf869778412 2016-11-22 15:59
有偿求上海坛友帮忙焊接BGA芯片,谢谢 ZY71 2016-11-21 82430 boyie0 2016-11-22 15:58
谁有红色飓风Ⅲ EP2C20/35 ver2.1开发板电路图,能上传一份吗? yixin1851 2012-5-23 32338 yixin1851 2016-11-21 11:55
【求助】FPGA串口怎么发送和接收字符串?altera的cycloneII的。用的verilog attachment Mr_25 2011-4-9 157243 gxh470873852 2016-11-20 12:38
zynq找不到ps核心,可能是啥情况? attach_img zxq6 2016-10-13 114629 zxq6 2016-11-18 12:05
小马哥IBOARD TFT驱动verilog版 attachment flyfox8 2013-12-17 163411 unnormal 2016-11-10 12:33
请教PCB层数、工艺、打烊费用厂商 MetalSeed 2015-4-20 141907 MetalSeed 2016-11-7 09:58
有没有人用FPGA做过delay line,精度能达到多少? banye2010 2016-11-5 21718 banye2010 2016-11-5 22:31
基于SOPC的ILI9325+ADS7843+SPI SD卡的使用笔记 yuphone 2010-12-29 287508 hefen-cai 2016-11-3 13:25
关于FPGA的OCT(片上终端电阻),请教 attach_img liu_xf 2014-6-30 22406 tianxian 2016-11-2 09:14
四位密码锁设计的有问题,编译没错,但是时序仿真以及... attachment 愁苦忍着 2016-10-23 92194 愁苦忍着 2016-10-28 09:18
一个FPGA的时钟输入问题 junzimengyou 2016-10-27 52621 wiser803 2016-10-28 06:58
发个以太网4-bit MAC层的并行CRC32 verilog代码,项目已验证 YFM 2015-11-17 72701 vermon 2016-10-26 23:31
请帮忙分析NIOS的串口DMA接收不正常原因 xin 2016-10-20 11345 xin 2016-10-20 13:47
建议大家到XILINX申请免费开发板  ...23 zkf0100007 2007-9-14 22251845 书的那页 2016-10-19 22:36
花了一天一夜搞定了FPGA+DDR+笔记本1080P高清屏 heatlevel wye11083 2012-11-25 6712874 dellric 2016-10-19 21:08
Verilog IIC通信实验笔记 原创 gianttank 2010-11-11 4711155 bi大痣 2016-10-19 09:49
求解答:quartus与modelsim联合仿真出现问题 attach_img 549646150 2016-10-17 11237 549646150 2016-10-17 22:58
分享手把手教你入门--ZYNQ视频教程 attachment huchl 2015-4-14 276378 calt1987 2016-10-16 23:09
我在用FPGA做VGA驱动屏幕时,屏幕始终是黑色的。 attach_img thy110 2016-10-14 32563 ackyee 2016-10-15 09:40
有做赛灵思的么?请问用IP核做的FIFO复位信号为何不管用? attach_img lanpad 2013-12-11 62781 NJ8888 2016-10-14 14:16
Modelsim se10.1哪位有链接?网上好像不好找诶,。 orange-208 2012-12-22 102818 jackyshi 2016-10-10 16:12
FPGA项目外包 新人帖 attachment lxm512988 2016-8-28 176921 华尔兹的脚步 2016-10-7 18:56
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-23 21:18

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块