搜索
收藏本版 (108) |订阅

FPGA 今日: 0|主题: 10383|排名: 24 

作者 回复/查看 最后发表
xilinx 发布帖子 总出这个 ,啥意思,谁知道啊 ? attach_img atom100 2017-8-23 43073 shawn_bu 2017-8-23 09:02
在哪里能找到Avalon-mm 总线突发传输的教程? bolizhicheng204 2017-8-22 11940 YFM 2017-8-22 23:05
秀一下新做的Xilinx FPGA开发板 Spartan6 XC6LX9 核心板 attach_img 409926778 2013-4-9 2613272 xuysh 2017-8-21 22:49
alwasys@(clk)下If else 是一个时钟完成的吧 ? atom100 2017-8-19 31843 lcw_swust 2017-8-19 13:45
求武汉的FPGA高手指导我altera时序约束。 advantech 2017-8-17 01797 advantech 2017-8-17 15:18
求助NIOS内核与Verilog之间通过FIFO进行数据交互的例程 bolizhicheng204 2017-8-16 42634 zxq6 2017-8-17 13:21
请问,倍频怎么不起作用? attach_img wgui 2017-7-23 31985 wgui 2017-8-16 22:37
发布一个CPLD驱动4.3寸TFT的代码 新人帖 attachment luheding 2014-11-20 345968 unnormal 2017-8-16 12:06
看看这段verilog程序应该如何修改 liudingding 2017-8-15 31979 liudingding 2017-8-15 12:57
EPM240需要频繁焊一遍引脚,为什么? liudingding 2017-8-12 103142 YFM 2017-8-13 17:35
调查一下Nios还有多少人在用? chun2495 2017-7-24 315539 chun2495 2017-8-12 21:40
modelsim仿真中的tc脚本,do文件,bat文件功能? atom100 2017-6-27 63056 yf869778412 2017-8-10 13:48
always@(posedge clk )中的多个if else 语句的执行顺序? atom100 2017-7-16 95126 yf869778412 2017-8-10 13:34
Multi-Camera Platform for Panoramic Real-Time HDR Video Construction and Rend... liyang53719 2017-8-7 41541 flyaudio 2017-8-10 11:37
usb2.0通信咨询 lanzhe1991 2017-8-3 92660 hyper320 2017-8-7 02:34
xilinx SDK debug仿真出错 attach_img xuysh 2017-8-6 11612 xuysh 2017-8-6 22:46
请教:大家都用什么工具阅读 verilog 代码 LVmcu 2017-2-8 208774 prow 2017-8-3 08:06
谁给我编写这段verilog代码我出一百元饭钱 attach_img liudingding 2017-8-1 314157 liudingding 2017-8-2 12:06
大家水做个哈希函数啊? atom100 2016-2-16 133247 rwdxty 2017-7-31 17:30
谁指出附AHDL语言程序问题我出100块钱的饭钱。 liudingding 2017-7-29 52511 liudingding 2017-7-31 09:48
我出100元饭钱找人给我看一下我的AHDL语言程序 liudingding 2017-7-29 32140 huangqi412 2017-7-30 09:04
请帮忙看看下面另外几个verilog HDL程序问题如何修改 liudingding 2017-7-28 41817 RAMILE 2017-7-29 13:47
请看一下这段问题verilong HDL语言应怎么改 liudingding 2017-7-27 31893 liudingding 2017-7-27 16:12
RAISR: Rapid and Accurate Image liyang53719 2017-7-26 01274 liyang53719 2017-7-26 11:13
EPM240输出和设定逻辑不一致,为什么? liudingding 2017-7-23 72087 as9901 2017-7-24 17:13
请教VIVADO 如何将32位数据拆分送两个16位乘法器 attach_img gongcsf 2017-7-19 63956 gongcsf 2017-7-21 17:17
请教一下cycloneIV和DDR的硬件连接问题 dream215 2017-6-7 82319 rerainings 2017-7-18 16:34
请教下我的AD9361示波器为何没有保存设置选项 attach_img Lucifer丶HH 2017-7-5 12030 程科 2017-7-17 14:24
ucgui下制作漂亮按键 attach_img heatlevel chun2495 2013-9-13 5628018 hxhz123456 2017-7-8 17:42
FPGA电子竞赛套件已在XILINX和ALTERA平台上实现(含VHDL和Verilog代码) attach_img navalguy2 2011-4-3 5313501 zaldy30 2017-7-7 15:50
新手求教 attach_img ruan18278816371 2017-7-5 294839 armok 2017-7-6 23:15
讨论:M4与FPGA通信接口:QSPI是否可行? attach_img sblpp 2016-9-9 146580 zzsczz 2017-7-3 22:13
最近有谁登录xilinx技术论坛吗 ?我总是登录不了 attach_img atom100 2017-5-12 32536 atom100 2017-6-29 08:07
科技发展太快了,大家来DIY个I7玩玩吧 attach_img sme 2017-5-19 266670 face9 2017-6-22 14:39
做多路的hdmi控制器方案又那些? microcreat 2013-9-20 32265 zx310500 2017-6-21 14:53
请问有没有人用CPLD桥接来设计PLC的背板总线? leecny2012 2012-7-17 114174 linjpxt 2017-6-16 23:19
用cpld来实现串口通信,最大波特率可以多少? justforfun 2017-6-14 134252 huangqi412 2017-6-15 23:04
xilinx(赛灵思)FPGA培训教程 包含例程 attach_img lanqilove 2010-11-9 5113841 lyl520719 2017-6-9 06:56
求推荐:Altera公司的FPGA型号 ShyFox 2017-6-6 183529 feiyangbc 2017-6-8 22:10
Quartus程序modesim仿真时寄存器不知为何变成了负数 attach_img LQS1200 2017-6-7 32802 LQS1200 2017-6-8 00:46
MAX V cpld,bank电压可调,但当不是3.3V时,jtag无法下载,什... ababvic 2017-6-3 22072 ababvic 2017-6-5 08:50
modelsim se 10.5 for win64 百度网盘分享 attachment jjl3 2016-4-2 138075 lyl520719 2017-6-5 00:18
在箱底翻出一块ADV7123板子,求原理图或者接口定义 attach_img mangocity 2014-9-12 93549 z123 2017-6-3 18:05
请问一下有哪位大虾有OFDM基带处理的verilog的demo啊 freewind_1129 2010-7-21 33442 hanshiruo 2017-6-1 22:01
高级FPGA设计 结构、实现和优化(PDF) McDeggy 2010-3-1 2811521 ronic 2017-5-31 23:36
请问一下用FPGA开发个DDC的实例需要多少花费 attach_img gongcsf 2017-5-19 103105 xivisi 2017-5-31 11:31
求cycloneIV通过JTAG下载到Flash的引导文件及流程,谢谢 attachment dream215 2017-5-26 51999 dream215 2017-5-31 08:57
关于特权同学串口程序的仿真 bbskate 2013-6-1 22162 xyd20405 2017-5-30 11:46
fpga的io口,可以用来给其他芯片供电吗? justforfun 2017-5-20 114308 bg6agf 2017-5-27 16:09
QuartusII13.1调用modelsim进行仿真,modelsim闪退 attach_img mayo20102012 2017-5-24 83531 LQS1200 2017-5-24 10:41
关于FPGA对SDRAM的按列读写问题 新人帖 ximuyi 2013-12-14 184805 justdomyself 2017-5-24 09:19
发一个fpga锁相环解调FM的工程 attach_img clumsy233 2016-7-21 145335 小学肄业 2017-5-21 14:18
Xilinx ISE bit文件的如何生成Bin文件 attach_img xuysh 2017-5-18 43502 xuysh 2017-5-18 13:23
altera的IP核fifo,在清除时出现问题。 lqluocn 2017-5-17 42352 我是一个大白菜 2017-5-18 07:45
共享2种4.3寸液晶驱动的PCB工程(CPLD和RA8875) attach_img b57203493 2016-11-18 275145 xml2028 2017-5-17 19:37
FPGA以24MHz速度读一次写一次100MHz的SRAM a9191389 2017-5-10 183482 lyl520719 2017-5-17 16:22
图中DMA、RAM的作用?为何都映射到PCIE地址空间? attach_img atom100 2016-6-30 11922 yf869778412 2017-5-16 17:34
大家在quartus 例化pcie ip硬核,使用megawizard还是QSYS? atom100 2016-6-30 83130 yf869778412 2017-5-16 17:30
altera的PCIE可以实现的端点类型root port是什么意思 ? attach_img atom100 2016-7-10 84908 yf869778412 2017-5-16 17:28
[已解决]奇怪的FPGA发热故障,各大神是否遇到过? xivisi 2017-5-14 96594 xivisi 2017-5-15 10:55
一般FPGA中的BBF指的是什么 attach_img Lucifer丶HH 2017-5-15 21906 mangocity 2017-5-15 10:41
也开始驱动LCD了(STM32+CPLD+SDRAM+800*600 LCD/VGA)  ...23 liujun6037 2009-11-15 20565049 xml2028 2017-5-12 23:13
FPGA数码管module,请大家点评 549646150 2016-11-2 52550 7802848 2017-5-12 15:52
谁能帮忙注册一个xilinx 英文技术论坛的帐号 ? atom100 2016-12-10 63350 atom100 2017-5-12 14:14
老师要我做个fpga的fir滤波器的设计的论文,请求介绍几本好书【恢复】 shushi0123 2008-12-8 136420 yeahoho 2017-5-7 02:15
Cyclone III与CY7C68013做USB数据传输 attach_img LiuCA 2015-7-17 324983 lzqnever@126 2017-5-6 12:49
在FPGA中TIA是什么意思 Lucifer丶HH 2017-5-5 01667 Lucifer丶HH 2017-5-5 09:41
模拟MIPI用电阻网络也能申请专利? a9191389 2015-8-2 43130 zysblog 2017-5-2 10:04
Altera 的FPGA能用3根线下载吗? attach_img hhxb 2017-4-23 72911 dellric 2017-4-28 17:12
请教下这样的无源三阶滤波器的一些参数应该怎样计算 attach_img Lucifer丶HH 2017-4-28 12224 NJ8888 2017-4-28 12:16
使用CLK管脚来作为触发管脚,可以吗? justforfun 2017-4-26 42615 NJ8888 2017-4-27 22:49
Cyclone III的IO口电流是可编程的,这个大家用过吗? attach_img justforfun 2017-4-26 11991 wye11083 2017-4-27 12:28
如果fpga的io坏了,耗电量会急剧的增加吗? justforfun 2017-4-25 113812 sdram 2017-4-27 12:02
FPGA 的主晶振用温补晶振,但不知道用什么波形的 attach_img kaka2007f2 2017-4-13 103931 allen0871 2017-4-24 14:30
请教cyclone iv支持不支持ddr3,如何查看?谢谢 dream215 2017-4-12 42638 zxq6 2017-4-23 00:24
谁能帮下个Altera Quartus Prime 16.1 Update1? GunGun 2017-2-2 102883 596142041 2017-4-22 00:36
锁相环的目的是同频还是倍频 Lucifer丶HH 2017-4-18 123428 zaldy30 2017-4-21 21:19
新人一枚!!硬件工程师的不懂!!! 新人帖 Allan 2017-4-13 153326 memstone 2017-4-18 08:46
请大家推荐一款便宜的CPLD芯片 attach_img qq854149876 2017-4-16 206050 fengyunyu 2017-4-17 17:27
xilinx如果 选时钟用assign clk_out = sel ? clk_a :clk_b,会如何 atom100 2017-4-15 12191 zkf0100007 2017-4-16 11:26
FPGA 4LED流水灯程序解惑 新人帖 attach_img Lucifer丶HH 2017-3-6 153472 raymon 2017-4-13 10:35
有如下verilog代码编译出错,如何改?谢谢! zxq6 2017-3-31 133503 raymon 2017-4-13 10:29
请问HY57V641620和K4s641632两款SDRAM的区别 辉色天空zs 2017-4-12 12517 wye11083 2017-4-12 18:14
请过来人推荐一本入门级FPGA书 Tonyhai 2017-2-26 143495 kongethan 2017-4-12 11:41
[亮骚] 给OMAP3核心板做了个底板,XC3S50AN+DDR,能推TFT 24位色17、19、22高分大屏。 wei1985_5 2010-5-3 5419684 Yoran 2017-4-10 09:43
FPGA+SDRAM驱动LVDS液晶屏问题 attach_img beihai326 2017-1-9 196652 shangdawei 2017-4-9 14:22
关于使用FPGA做CAN总线控制器的疑问 596142041 2015-8-19 254986 shangdawei 2017-4-7 10:41
Lattice fpga 编程设置 a9191389 2017-2-11 23861 名字难取 2017-4-5 21:56
收一个黑金的LX16FPGA学习。 attach_img suebillt 2017-3-25 42151 shangdawei 2017-4-4 19:17
想用FPGA实现8GBytes以上的DDR,似乎没有便宜方案 nanfang2000 2017-4-1 62772 zxq6 2017-4-1 19:35
新人请教怎么查看FPGA的最高时钟频率? attach_img dream215 2017-2-27 147902 yf869778412 2017-3-31 13:12
FPGA 高频 波形 悬浮 kingboy1000 2017-3-28 82969 zxq6 2017-3-31 08:59
想问问有人有这两个AD9361资料的中文版吗,求! attachment Lucifer丶HH 2017-3-15 21758 湛无双 2017-3-29 13:30
fpga制作频率计问题 新人帖 attach_img sunsun1 2017-3-28 163336 7802848 2017-3-29 13:01
万元FPGA==>EP4SGX530 开发板 千元以下! attachment heatlevel  ...23 hxl_led 2013-9-29 29935351 xycfwrj 2017-3-29 10:20
求altera FPGA高精度DPWM实现的方法,200kHz,做到12位 banye2010 2017-3-9 31971 banye2010 2017-3-28 20:55
汇集论坛帖子:arm和fpga并行通信。 attachment lkl10800139 2012-5-6 178352 lkl10800139 2017-3-24 13:25
ep4sgx530 FPGA板 手册(前12章,未完稿) + 配套的工程文件 attach_img heatlevel hxl_led 2016-4-14 699765 xyz543 2017-3-22 11:20
深入浅出玩转 FPGA / 吴厚航编著 随书光盘iso,去掉后边的RAR!!! guokevin 2012-3-2 216959 愁苦忍着 2017-3-21 12:50
求解这个verilog4位计数器部分代码的含义 attach_img Lucifer丶HH 2017-3-20 11764 gwj221 2017-3-20 19:54
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-10-3 13:41

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块