搜索
收藏本版 (108) |订阅

FPGA 今日: 1 |主题: 10376|排名: 35 

作者 回复/查看 最后发表
全局置顶 隐藏置顶帖 2023-01-10起,阿莫论坛提供:辉瑞paxlovid与印度仿制药的借出应急服务 attach_img armok. 2023-1-18 434263972 armok. 2024-7-12 10:56
  版块主题   
ZYNQ 的PL 的IO大家用的时候都有外部串电阻吗? ackyee 2022-10-25 1624505 zzz1367 9 小时前
请教坛友,Xilinx 7系列的Serdes收发可以不同协议吗? smbxfdbz 2024-7-15 31127 zkf0100007 2024-7-16 10:50
用FPGA对图像90度旋转,是不是对DDR带宽要求很高? smbxfdbz 2024-7-13 101805 redroof 2024-7-14 14:49
请坛友推荐一下VBO接口的桥接芯片 smbxfdbz 2024-7-4 03070 smbxfdbz 2024-7-4 15:00
有对FPGA实现PCI协议感兴趣的吗,免费送几块空板PCB!! Fpang2006 2012-4-21 2810589 竹叶听筝 2024-7-1 11:18
有没有用过ISE里面的PCI的IP核(sungan用过吗ISE里的吗?),我用的版本是8.1的,为什么不让 dongzhiqing 2010-4-17 89501 竹叶听筝 2024-7-1 11:12
请教大家,实现图像Scaler是否一定要挂DDR呢? smbxfdbz 2024-6-21 65390 ackyee 2024-6-21 14:57
riscv的编译环境要怎么安装和用起来?请坛友分享一下使用技巧 attach_img smbxfdbz 2024-6-4 5012205 smbxfdbz 2024-6-18 21:59
一个EP4CE10F17c8的FPGA模块例化问题 attach_img 三年模拟 2021-12-17 815004 风过不留痕z 2024-6-18 10:13
FPGA module实现一个功能需要几个百输入输出,怎么写比较优... attach_img 三年模拟 2021-11-19 1742631 laciel666 2024-6-6 09:56
请教大家,FPGA的收发器,能当成逻辑分析仪用吗? smbxfdbz 2024-5-29 210317 zxq6 2024-5-29 21:04
关于Xilinx的高速bank的使用【标题不合格】 新人帖 xg_huhu 2024-5-27 210405 armok. 2024-5-28 06:11
为什么中国的FPGA厂家数量比全球加起来都多? smbxfdbz 2024-5-20 4514582 dukelec 2024-5-23 16:39
有没有坛友试过,xilinx的xc7z010能否当xc7z020用? smbxfdbz 2024-5-18 512683 smbxfdbz 2024-5-20 11:56
请问一下,有AD9822/AD9826跟FPGA/CPLD的设计、程序参考吗? sywh 2013-11-19 214730 zxq6 2024-5-20 11:40
求助 zynq驱动usb3320芯片,cpen管脚一直低导致无5v电压输出 ackyee 2023-1-20 1158002 zkf0100007 2024-5-16 12:55
发一个最新的modelsim-win64-2019.1-se jjl3 2019-6-30 1321571 spring152 2024-5-13 20:01
microblaze复位不启动会是什么原因呢? smbxfdbz 2024-4-24 119124 xg_huhu 2024-5-10 18:43
LVDS代码设计,非常有用的参考,有verilog和VHDL两个版本 attachment armok. 2024-5-3 114879 armok. 2024-5-3 13:10
lvds参考设计(xilinx) 高速串并转换 attachment armok. 2024-5-3 114751 armok. 2024-5-3 13:08
FPGA实现LVDS信号输出 LCD 控制器 verilog attachment armok. 2024-5-3 114711 armok. 2024-5-3 13:06
LVDS的应用的Verilog HDL源代码 attachment armok. 2024-5-3 114687 armok. 2024-5-3 13:04
求 Quartus Prime Standard 22.1 .2的和谐文件 zchong 2024-4-18 217439 liao-ljj 2024-4-20 19:48
请教一下,xilinx的FPGA能在程序里读写配置芯片吗? smbxfdbz 2024-2-5 632534 ackyee 2024-4-20 16:36
现在还有人用Altera吗,性价比对比Xilinx怎么样? smbxfdbz 2024-4-7 518186 smbxfdbz 2024-4-7 12:42
请教,单端晶振可以用电阻网络转成差分,给fpga用吗? smbxfdbz 2024-3-27 217255 smbxfdbz 2024-3-27 11:44
AXIS这种接口读片内block memory,接口逻辑怎么设计比较好? attach_img smbxfdbz 2024-3-13 1221274 smbxfdbz 2024-3-23 22:44
请教下大家xilinx量产的话,芯片怎批量烧录程序? attach_img chenfzg 2024-3-4 1120754 ackyee 2024-3-5 15:00
想搞FPGA做技术储备,应从哪块学会容易一点? 不见往昔 2024-2-26 1222324 不见往昔 2024-2-28 10:00
现在国产FPGA做的好的有哪些家啊? eipai10 2024-2-21 823720 carefree1986 2024-2-26 15:52
发个移植SOEM驱动ETHERCAT接口的松下A5伺服代码 attach_img advantech 2024-1-31 426912 whatcanitbe 2024-2-4 11:43
哪位大神有易灵思的资料 attach_img akey3000 2024-1-31 525391 snowy 2024-2-1 19:42
承接FPGA mipi/rgb/lvds/bt1120等相关接口桥接项目 新人帖 attach_img RickySu 2022-7-26 1638179 hexenzhou 2024-1-26 15:57
常用于 fpga电源设计 的 TLV62130RGT 有没有 兼容的替代芯片? attach_img zzsczz 2024-1-17 1525622 wye11083 2024-1-18 16:30
Spartan6 战神3 FPGA开发板硬件资料 attachment Nuker 2023-4-1 459411 liang16888 2024-1-10 13:57
求Cyclone® V SoC FPGA资料 新人帖 attach_img 不见往昔 2024-1-9 125433 armok. 2024-1-9 11:22
vivado的Video PHY Controller,时钟选择为啥不起作用? attach_img smbxfdbz 2023-12-27 225317 smbxfdbz 2023-12-27 13:41
请教大家,有没有可以替代xilinx zynq系列芯片的国产FPGA smbxfdbz 2022-4-5 729990 zzsczz 2023-12-26 21:56
请教一下PCIE读DDR的逻辑 opiviqo 2023-12-22 426673 david9895 2023-12-25 10:48
体验了一下高云的FPGA,感觉挺不错 attach_img Kimalakia 2021-12-4 3466176 BOERLBH 2023-12-25 10:14
vitis编译MB软核程序,有优化选项可以设置吗? attach_img smbxfdbz 2023-12-23 526155 wye11083 2023-12-23 16:38
怎样证明 一个 生产者-消费者 系统 (fifo)的性能满足要求? zzsczz 2023-12-12 828063 redroof 2023-12-13 11:44
有没有人在FPGA上部署过神经网络模型? chun2495 2023-9-15 540302 zzsczz 2023-11-27 23:05
移相器a_verilog_输入信号的相位移动相应的度数 attachment armok. 2023-11-27 126944 armok. 2023-11-27 13:08
请教Verilog一个错误处理方法 attach_img BOERLBH 2023-4-18 851997 BOERLBH 2023-11-14 16:41
请大家推荐,LVDS转eDP的接口芯片,能支持到8.1Gbps的 smbxfdbz 2023-10-27 430916 hcambridge 2023-10-28 11:53
请问 Xilinux 的FPGA -2芯片可以使用-1速度等级的代码通用吗? ackyee 2023-10-20 531485 wye11083 2023-10-20 14:45
verilog FPGA dsp6713之HPI主从通讯 attachment armok. 2023-10-20 131200 armok. 2023-10-20 13:51
xilinx spartan6系列FPGA的HPI使用例程,亲测可用 attachment armok. 2023-10-20 130519 armok. 2023-10-20 13:47
关于verilog中的generate语句,帮忙看下这样写能综合吗? attach_img xxdd 2022-2-25 234880 laciel666 2023-10-19 13:01
求助,在实际的zynq项目上使用Petalinux还是自己构建? W872529868 2023-10-17 931625 zxq6 2023-10-17 13:33
FPGA 一块代码有的执行有的不执行? attach_img lixiaoxu186 2022-1-17 1536485 laciel666 2023-10-16 20:41
最近做了一块AGM FPGA实验板---谈国产FPGA的使用感受 attach_img heatlevel  ...2 htjgdw 2019-9-16 10468862 carefree1986 2023-9-22 22:21
关于FPGA的IP核破解经验分享 attach_img heatlevel lusolzyy 2013-8-7 3847464 风过不留痕z 2023-9-19 14:19
Xilinx IP核解密源码 attachment heatlevel tiancai_ 2014-8-17 76102254 zya2008 2023-9-19 12:03
F想使用PGA+ARM做超声设备,哪个方案可行? heatlevel  ...2 chun2495 2014-12-22 11358188 redworlf007 2023-9-15 16:16
vivado编译时,有办法多核并用吗,感觉只有一个核在工作 smbxfdbz 2023-9-14 335052 Nuker 2023-9-14 23:41
ARM和FPGA大量数据交换,接口该如何设计? siemeni 2011-3-11 540732 xy3dg12 2023-9-7 18:03
Vivado综合时报错Undriven nets,一般是什么原因? attach_img smbxfdbz 2023-8-18 1037266 smbxfdbz 2023-8-21 19:45
vivado2017.4工程,ZYNQ PL采集AD7606,做FFT attachment armok. 2023-8-15 237699 特神奇 2023-8-17 16:29
Xilinx的HDMI2.1 IP,帧率调高后,图像跳动,怎么解决呢? attach_img smbxfdbz 2023-8-11 1438140 smbxfdbz 2023-8-14 17:24
请教VIVADO支持QSPI的国产flash芯片 attach_img gumogumo 2023-8-11 337877 hugohehuan 2023-8-12 17:34
开源ZYNQ AD9361/9363开发板EagleSDR Pi attach_img heatlevel zkf0100007 2021-9-5 7861839 zhanyanqiang 2023-8-10 16:34
通过USB接口实现FPGA的SelectMap配置 attachment armok. 2023-8-7 136965 armok. 2023-8-7 19:04
SJA1000T-Basic-Can-Verilog armok. 2023-7-28 138339 armok. 2023-7-28 16:59
基于FPGA及sja1000的CAN总线通讯 attachment armok. 2023-7-28 138641 armok. 2023-7-28 15:08
sja1000的FPGA驱动和应用程序(207mb) armok. 2023-7-28 138432 armok. 2023-7-28 14:45
无线通信fpga设计[田耘等编着][书籍+程序源代码] attachment armok. 2023-7-26 138304 armok. 2023-7-26 15:11
为什么用FPGA实现HDMI2.1需要这么多种时钟芯片辅助? attach_img smbxfdbz 2023-7-18 440353 dellric 2023-7-18 22:15
请教这段verilog移位异或程序有没问题? rzsab 2023-7-11 240959 zxq6 2023-7-11 18:09
FPGA控制QSPI Flash及Verilog程序.docx attachment armok. 2023-7-10 140328 armok. 2023-7-10 21:27
FPGA控制nand_flash读写测试,仿真测试,nand_flash.zip attachment armok. 2023-7-10 140260 armok. 2023-7-10 21:26
请教大家,FPGA驱动HDMI接口,一定要加Retimer芯片吗 attach_img smbxfdbz 2023-7-6 1042825 wye11083 2023-7-8 20:10
请教: 有国产运放可以替换AD8138的吗, 谢谢 hxl_led 2022-6-27 661664 国产之光chip 2023-6-29 14:13
zynq的bank34、35的任意差分对IO是否都是支持tmds,HDMI输出 三年模拟 2023-6-21 243077 zkf0100007 2023-6-23 11:08
GTH接收端在无数据传入时,ILA监测有无规则的乱码 attach_img gumogumo 2023-6-15 343512 ackyee 2023-6-15 15:35
【已解决】移植XILINX官方的HDMI2.0 IP后输出4k60图像会有细微噪点 attach_img ackyee 2021-5-18 2052763 crazyman2021 2023-6-13 17:20
Xilinx 下载器 Digilent JTAG-HS3 所有资料 attachment heatlevel  ...2 gwnpeter 2018-9-12 14384886 ywq 2023-6-12 20:15
sony IMX172 的曝光时间应该怎么控制呢,我想让图像亮一点 attach_img yimengxiaoyao 2022-6-7 657214 sishuinian2022 2023-5-27 18:10
锁相环设计,仿真与应用(第5版)中文.pdf 国外著名教材的翻译 armok. 2023-5-13 143730 armok. 2023-5-13 13:05
请教下 ZYNQ 在SDK下在线调试 printf 可以从JTAG输出吗? attach_img ackyee 2023-5-10 344364 zkf0100007 2023-5-11 12:54
最近Lattice IP核服务器一直连不上大家有没有遇到类似问题 attach_img 谭春林 2023-5-10 043152 谭春林 2023-5-10 11:01
LATTICE Diamond 3.11 Make license Gen attachment armok. 2023-5-4 143774 armok. 2023-5-4 12:25
SVPWM在基于DSPFPGA的Z源逆变器中的应用 attachment armok. 2023-5-4 143776 armok. 2023-5-4 12:23
BGA封装FPGA芯片焊接 FORDREAM0 2016-1-12 3552266 wxws 2023-5-3 18:02
Display Port 1.4 spec,英文版,详细介绍Display Port 1.4协议规范 attachment armok. 2023-4-25 144707 armok. 2023-4-25 07:40
在FPGA上进行Norflash的工作验证,通过擦,写,读进行测试 attachment armok. 2023-4-24 144616 armok. 2023-4-24 12:00
请教下FPGA的PIN脚连接 attach_img 00hui1231 2023-4-20 145064 zkf0100007 2023-4-20 11:59
请教:VIVADO添加国产flash,下载固件 attach_img gumogumo 2023-4-14 446653 gumogumo 2023-4-16 08:52
如何做FPGA验证 attachment armok. 2023-4-13 145769 armok. 2023-4-13 17:32
外部输入3.3V电平的200MHz频率信号,有没有一个合适的分频芯片? zxq6 2023-4-7 1047128 zxq6 2023-4-8 10:24
请教:FPGA无法从flash加载启动 attach_img gumogumo 2023-4-1 648691 gumogumo 2023-4-6 15:33
我的FPGA第一贴,Quartus 2 9.0的版本,为何烧录老是卡成翔啊? dianzichina 2023-3-31 1148469 zxq6 2023-4-5 22:29
求一个Libero IDE的安装包 qtechzdh 2023-4-2 146321 qtechzdh 2023-4-3 00:47
基于soc fpga的linux系统丢数问题? attach_img 多啦爱梦 2023-3-30 1047983 多啦爱梦 2023-4-1 11:14
发现现在的FPGA论坛都不太活跃啊 laotemeshanghuo 2023-3-28 2250779 cay 2023-3-31 22:54
硕士论文共享,很多很多。。 精华 digest heatlevel  ...23456..7 yangshuhe33 2011-6-24 650167714 OKeey 2023-3-28 10:39
吐槽一下,xilinx的vivado开发环境太难用了 谭春林 2023-3-25 1150443 laotemeshanghuo 2023-3-27 15:52
有朋友做过CR成像系统吗?合作或者有偿咨询 waronder 2023-3-27 047509 waronder 2023-3-27 11:45
500MHz采样率下的线性调频信号的解调能达到实时吗? ziruo2002ab 2023-3-25 449132 cuiliang1984 2023-3-26 16:10
下一页 »

快速发帖

友情提示: 您当前发帖的板块是:FPGA。反政府言论将被立即封锁ID 。发错分论坛、标题不合格、重复发帖、大量使用大字体和彩色字,都是违规。【本论坛不允许直接上传手机拍摄图片,浪费大家下载带宽和论坛服务器空间,请压缩后(图片小于1兆)才上传。压缩方法可以在微信里面发给自己(不要勾选“原图),然后下载,就能得到压缩后的图片。注意:要连续压缩2次才能满足要求!!】。另外,手机版只能上传图片,要上传附件需要切换到电脑版(不需要使用电脑,手机上切换到电脑版就行,页面底部)。点此查看更多发帖规则
还可输入 80 个字符
您需要登录后才可以发帖 登录 | 注册

本版积分规则

手机版|Archiver|amobbs.com 阿莫电子技术论坛 ( 粤ICP备2022115958号, 版权所有:东莞阿莫电子贸易商行 创办于2004年 (公安交互式论坛备案:44190002001997 ) )

GMT+8, 2024-7-24 17:27

© Since 2004 www.amobbs.com, 原www.ourdev.cn, 原www.ouravr.com

返回顶部 返回版块