tanjianchao 发表于 2008-3-14 10:27:23

PIC16F876A制作数控电源(完整C程序+HEX+仿真+电路图)

这是通过国外的论坛连接后找到的,(在GOOGLE找不到的!)已经通过我亲自验证,电路简单,制作容易。过后上我制作的图片!虽然不是原创,但是学习的好资料,申请加酷。点击此处下载ourdev_230555.rar(文件大小:306K)
1http://cache.amobbs.com/bbs_upload782111/files_9/ourdev_230556.gif
1http://cache.amobbs.com/bbs_upload782111/files_9/ourdev_230557.jpg
2http://cache.amobbs.com/bbs_upload782111/files_9/ourdev_230558.jpg
3http://cache.amobbs.com/bbs_upload782111/files_9/ourdev_230559.jpg

hehanlou 发表于 2008-3-14 10:36:16

沙发 向阿莫讨条裤子!

zxq6 发表于 2008-3-14 10:42:34

谢谢

mp44 发表于 2008-3-14 10:52:05

制作难度如何.

steven 发表于 2008-3-14 12:21:27

顶啊,裤子快来!

bozai 发表于 2008-3-14 12:26:29

好东西啊!谢谢!

funghome 发表于 2008-3-14 13:27:36

這個google能找到的.......

zhangna_901887 发表于 2008-3-14 14:46:30

从这个例子中可以学到很多东西,PWM-DA就是个很好的例子。

zhanjay 发表于 2008-3-14 14:48:05

不知精度和稳定度如何?

tanjianchao 发表于 2008-3-14 20:04:57

我制作了,精度不错,比M8做的好,电路中运放我用324代替。另外,一定要按照电路做,例如只用一个变压器是不行的。

tanjianchao 发表于 2008-3-14 20:11:30

补充:供电的LM317输出的电压不是5V,是5.12V,这个电压要稳,因为对电路输出的电压、电流有影响。当你制作时改变这个电压就知道了,其他的留给大家慢慢了解吧。

y2kloach 发表于 2008-3-14 20:31:27

学习!!!

cai_mouse 发表于 2008-3-15 21:40:25

谢谢分享

h572 发表于 2008-3-18 19:44:51

谁DIY出来了,性能如何!!

zhanjay 发表于 2008-3-18 20:12:54

其实这个资料满多的。
2005年全国大学生电子设计竞赛的一道题目:

数控直流电流源

(1)输出电流范围为20mA~2000mA,步进1mA;

(2)设计、制作测量并显示输出电流的装置 (可同时或交替显示电流的给定值和实测值),测量误差的绝对值≤测量值的0.1%+3个字;

(3)改变负载电阻,输出电压在10V以内变化时,要求输出电流变化的绝对值≤输出电流值的0.1%+1 mA;

(4)纹波电流≤0.2mA;

搜一下论文、方案很多的。

h572 发表于 2008-3-19 12:46:47

设计竞赛归设计竞赛,
真正做出来的又有多少!!

zhanjay 发表于 2008-3-19 13:07:09

你去搜全国一等奖的,怎么会没有做出来的?
恐怕做出来的参数你想都想不到咯!

chendaon 发表于 2008-3-19 14:27:44

DING

h572 发表于 2008-3-20 21:12:39

16楼参加过那种竞赛吗,知道他们是如何比赛,如何评奖的吗!!!
没见到实物,光看方案我不敢乱说!!!
那种比赛,就算什么都不懂,也有可能得全国一等奖!!!

其实LZ贴的电路,恒压,恒流部分各用了2个运放!!
做出来的后果就是噪音大!!!
原作者网站因为这个原因已经将项目资料去掉了!

zhanjay 发表于 2008-3-20 22:13:04

呵呵,是要拿实物参赛的,当然论文也要的,实物还要现场测试的。

不排除有一部分弄虚作假的,但大部分还是能经得住考验的。

wingyun 发表于 2008-3-22 14:57:05

好东西啊,感谢分享~!

BrowserCh 发表于 2008-3-25 19:14:50

好东西啊   谢谢了哈 !!收下了

qzhqzh 发表于 2008-3-28 19:45:39

有人做出来了吗?

qzhqzh 发表于 2008-4-2 20:20:36

包中的扩展名为DSN的文件用什么打开啊,我用ProtelDXP打不开

chuyu111 发表于 2008-4-2 20:41:04

*.dsn用proteus打开

chairang 发表于 2008-4-2 21:07:23

做个记号

aysyjgw 发表于 2008-4-2 22:19:43

qzhqzh 发表于 2008-4-4 19:15:38

可惜没有PCB文件,要自己要原理图再设计PCB

qzhqzh 发表于 2008-4-10 19:41:22

TO: 九楼的tanjianchao ,为什么非要用两个变压器?原因是什么?

zhanjay 发表于 2008-4-10 21:02:43

ls,输出两个绕组也行啊

likedev 发表于 2008-4-10 22:26:17

谢谢!

qxs5264 发表于 2008-4-10 22:42:30

恩,的确不错!

flyunlimit 发表于 2008-4-10 22:59:11

我没顶过这个帖子?不可能啊!顶起

pcwinner 发表于 2008-4-11 09:14:14

记号.........

spy2008 发表于 2008-4-11 09:23:19

qzhqzh 发表于 2008-5-7 20:44:32

再顶

poppush 发表于 2008-5-7 22:28:45

好 记号

tianbian 发表于 2008-5-10 09:43:28

顶~~~~~~

tanjianchao 发表于 2008-6-28 12:40:55

to 28楼:我在制作时就是用一个变压器输出两组电压进行试验,结果在负载短路,即在恒流状态时输入电压减少太多了,影响了单片机的工作,就算变压器功率足够大也不行。

micropower 发表于 2008-7-15 09:24:01

不错,作个记号

weiyi 发表于 2008-9-6 19:32:11

路过

shiqiang 发表于 2009-2-27 12:01:49

太好了

09244520 发表于 2009-2-27 13:53:24

很啊

hllppyx 发表于 2009-3-1 13:36:06

好东西啊!谢谢!

wenqing 发表于 2009-3-27 10:23:49

make

wzz421 发表于 2009-3-28 10:33:54

谢谢。

veloce 发表于 2009-5-8 09:59:24

我下了,好好研究一下。

tda1514 发表于 2009-5-8 16:54:29

怎么才看到?这个电源看上去不错!

sange 发表于 2009-5-9 17:47:50

mark

naohbbq 发表于 2009-5-16 23:04:00

记号

zhaoyanrock 发表于 2009-8-28 21:56:56

顶~~~

lionwang3 发表于 2009-8-28 23:34:35

谢谢共享!!

tywood 发表于 2009-9-1 17:23:56

顶一下,没认真看__@

piccode 发表于 2009-9-1 17:31:29

程序是CCS C的,但有一句编译不通过(V4.093)
comparer_Iset_Ilue(); // lire et afficher qui est en controle, E ou I

yaonui 发表于 2009-9-1 23:45:51

顶,收藏

zhangjw 发表于 2010-4-2 14:23:57

mark。

zyw19987 发表于 2010-4-2 20:49:11

今天又有收获了,谢谢你们的开源啊!

60333tim 发表于 2010-4-2 21:25:43

mark

oldmen 发表于 2010-4-2 23:22:52

mark

zhangfuhg 发表于 2010-4-3 08:31:52

记号

cooler 发表于 2010-4-3 09:45:11

记号

Pmin-wei 发表于 2010-4-12 16:39:15

记号

yangyi 发表于 2010-4-13 00:12:27

开关电源会好一些.

zhg_wx 发表于 2010-4-13 00:27:35

好东西,谢谢

110911 发表于 2010-4-13 00:55:20

mark

wcm_e 发表于 2010-4-13 08:19:21

mark

hongyancl 发表于 2010-4-13 09:03:11

ddddddddd

hongyancl 发表于 2010-4-13 09:11:30

回复【楼主位】tanjianchao
-----------------------------------------------------------------------

dddddddd

qfmcu 发表于 2010-4-16 01:29:23

mark

smartzou 发表于 2010-4-16 11:43:58

mark

my2009 发表于 2010-4-22 16:13:27

mark

wmm20031015 发表于 2010-4-22 17:23:13

可以DIY一个

kgje 发表于 2010-6-7 10:36:11

我也要顶一下。

xjmlfm1 发表于 2010-6-7 12:37:32

mark

fucai 发表于 2010-7-18 10:05:22

太强了,谢谢了啊

liuzhizheng1234 发表于 2010-7-18 16:08:49

mark

ljh42728620 发表于 2010-9-4 12:07:53

mark

jianchangd 发表于 2010-11-16 14:41:40

做得好。

tongyf 发表于 2010-11-17 11:36:28

记号

zqd311107 发表于 2010-11-24 13:23:15

请问楼主的电路板是在哪里做的?很漂亮,我不想再用万能板焊了

10086 发表于 2010-11-24 20:13:13

谢谢了,好东西

tonyone 发表于 2010-11-25 09:06:02

有人做出来的冒个泡哦。

yqc88 发表于 2010-12-29 22:25:43

不错,有没PARTS LIST,原图不清晰.

xiaoliusheng 发表于 2010-12-29 22:29:46

貌似很复杂啊

au730 发表于 2010-12-29 23:07:47

mark

yqc88 发表于 2010-12-30 18:50:51

这电路电压取样原理为什么是这样的.

kingsabbit 发表于 2011-1-2 18:29:56

国外的电源很有特色

mosidao 发表于 2011-1-4 00:45:22

这个要学习

xifengxia 发表于 2011-2-19 20:26:36

好东西

Feco 发表于 2011-5-6 19:19:21

DDD

hbcjs 发表于 2011-5-6 23:59:33

好东西!

etiger999 发表于 2011-5-7 17:02:07

mark

tanjianchao 发表于 2011-5-7 19:17:59

谢谢大家的关注,该作品其实是一个不错的制作,自发布以来多方网站转载。我看到其他网站的转帖,对电路进行了修改,你可以在google上搜索就知道了。

fanmingming 发表于 2011-5-7 20:16:27

回复【楼主位】tanjianchao
-----------------------------------------------------------------------

输出范围和控制精度分别是多少?

millwood0 发表于 2011-5-8 07:42:19

a few issues:

1) the current measurement is done on the low-side. this will cause the "ground" to the load to float. not a good thing. high-side measurement is preferred and can be done with an opamp+transistor or dedicated devices.
2) the output is via pwm. this introduces ripple. you can use a dedicated dac.
3) the pid amp is done in analog. it could have been done in digital.
4) the output select can be done easily with a rotary switch.

overall, the design can be greatly simplified.

and it is meant to generate a DC output into a steady load.

jeep 发表于 2011-5-8 08:22:42

稳定性好吗。

lcmdw 发表于 2011-5-8 10:36:32

mark

gmyu 发表于 2011-5-8 11:08:34

寻求一种不用插入电阻测量电流的方法。

wlzxzp 发表于 2011-5-8 13:03:42

kankanzenmyang

coptics 发表于 2011-5-14 22:52:00

回复【94楼】millwood0
a few issues:
1) the current measurement is done on the low-side. this will cause the "ground" to the load to float. not a good thing. high-side measurement is preferred and can be done with an opamp+transistor or dedicated devices.
2) the output is via pwm. this introduces ripple. you can use a dedicated dac.
3) the pid amp is done in analog. it could have been done in digital.
4) the output ......
-----------------------------------------------------------------------

how to do PID with digital?
页: [1] 2
查看完整版本: PIC16F876A制作数控电源(完整C程序+HEX+仿真+电路图)