vc9181 发表于 2016-4-27 23:50:46

求个好用分Quartus II 13.1 (32-bit)软件,谢谢

   RT:安装老是出问题(打不开工程:打开工程的时候闪退,方针时ModelSim打不开:路径设置对了),重装系统也不行,谢谢了。
         我的操作系统是Win7企业版 32位。

simplorer 发表于 2016-4-28 07:50:51

这个软件去altera官网下载不就得了,我现在用15.0-64bit的

vc9181 发表于 2016-4-28 09:48:52

simplorer 发表于 2016-4-28 07:50
这个软件去altera官网下载不就得了,我现在用15.0-64bit的

哦,谢谢去看一下
{:handshake:}

justin_n 发表于 2016-4-30 19:43:19

simplorer 发表于 2016-4-28 07:50
这个软件去altera官网下载不就得了,我现在用15.0-64bit的

15.0用的怎么样,稳定吗?

simplorer 发表于 2016-5-2 08:06:21

稳定性没发现什么问题,用了两个多月了,一直很正常。

我是一个大白菜 发表于 2016-5-2 10:31:39

我记得我就在坛子里找到的15.1目前用着没有什么问题,不过感觉比13.1快多了。
页: [1]
查看完整版本: 求个好用分Quartus II 13.1 (32-bit)软件,谢谢