hxl_led 发表于 2016-4-14 11:35:47

ep4sgx530 FPGA板 手册(前12章,未完稿) + 配套的工程文件

本帖最后由 hxl_led 于 2016-4-14 11:42 编辑

FPGA 入门的实验章节.仅供参考,资料来源于网上,回馈与网上





工程文件太大,放到百度盘了
百度盘
链接:http://pan.baidu.com/s/1c0LROuW 密码:sqjw

prow 发表于 2016-4-14 11:50:37

帮顶!估计用不上这么高端的片子

KunShan_a_dai 发表于 2016-4-14 12:01:27

顶顶赚积分

DepravedLucien 发表于 2016-4-14 12:12:42

收下了,谢谢

amote 发表于 2016-4-14 16:49:26

谢谢!{:handshake:}{:handshake:}

jianbo513 发表于 2016-4-14 17:02:19

多谢分享!

canopen 发表于 2016-4-14 18:22:12

lz的7k325什么时候出啊,,期待中

shouqiang_zhang 发表于 2016-4-14 18:56:33

谢谢楼主。

error_dump 发表于 2016-4-14 19:04:07

多谢LZ!终于等到了!不过现在板子不在身边

hgh1013 发表于 2016-4-14 19:24:10

顶老大,老大辛苦了

jiangchun9981 发表于 2016-4-14 19:34:34

虽然没买LZ的板子,不过看了目录,非常好的学习教程!正在下载中....

Pjm2008 发表于 2016-4-14 20:11:13

辛苦了,做这种开发板教程是个苦力活

kutf 发表于 2016-4-14 20:14:41

果断保存,那个 niosii- heijin目录没法转存,是不是文件太多了?

xivisi 发表于 2016-4-14 20:17:41

这几天正在弄这个板子的代码,楼主正好出东东了,帮顶

livelei 发表于 2016-4-14 20:37:43

太好了,不知不觉这都将近一年了呢{:victory:}

mfkiwl 发表于 2016-4-14 20:39:18

LZ厉害,开发板一直有更新啊

jordonwu 发表于 2016-4-14 21:21:24

下载学习

ccrt 发表于 2016-4-14 22:30:56

赞!!!               

laotui 发表于 2016-4-14 22:55:13

期待楼主出个软核移植教程

xiaohewanwan 发表于 2016-4-15 08:43:58

好东西,这么强悍的板子不应该只跑一个流水灯。不知有没有人把这些资源都用起来做点什么啊

辰星和月 发表于 2016-4-15 09:25:37

不错,收藏一下

TLLED 发表于 2016-4-15 09:26:15

谢谢分享                              

雨醉江南 发表于 2016-4-15 11:22:45

高大上的器件,资料很好,期待完整的作品。

donglaile 发表于 2016-4-15 12:33:36

这资料的开发板好高端

pengchhui 发表于 2016-4-15 13:00:26

正准备玩Altera家的SOC,学习下

unnormal 发表于 2016-4-15 21:01:05

看起来不错 有时间看看

hunningtu 发表于 2016-4-15 21:03:58

每次看到楼主的板子都不禁多看几眼,淘宝收藏夹里也小心的放着,只因小城市刚买过房,又面临着生孩子的压力,屁股后面还有一堆债,除了生活刚需品,不敢瞎玩了,每次也只能看看了。{:cry:}

FPGA_WALKER 发表于 2016-4-15 21:49:25

这个片子不搞个高速接口,没有大容量ddr3,真是可惜了。

liucoldstarplus 发表于 2016-4-16 22:52:05

总算等到这块板子的手册了!

全频阻塞干扰 发表于 2016-4-17 23:31:07

不知道楼主的ADDA板子怎么样了

kingcrum 发表于 2016-4-20 10:02:09

期待已久了

zhang_ourdev 发表于 2016-4-20 11:53:13


谢谢分享

ye_song 发表于 2016-5-14 22:45:30

收下了,谢谢

xuyapple 发表于 2016-5-15 00:00:29

不用FPGA,帮楼主顶下~

foxpro2005 发表于 2016-5-15 20:54:11

好强大的赶脚...,看来我是玩不转了{:mad:}, 帮顶

tjuspring001 发表于 2016-5-16 15:39:01

总算等到这块板子的手册了!

ycwjl728 发表于 2016-5-16 15:40:59

Mark!感谢分享

guolh_bj 发表于 2016-5-18 10:31:14

感谢楼主无私奉献~~~

zhangzif 发表于 2016-5-31 09:48:03


收下了,谢谢

aegean2000 发表于 2016-5-31 15:47:37

非常好,楼主辛苦了,买了楼主的板子,做工很不错,物美价廉

jackey_cmos 发表于 2016-5-31 16:19:06

谢谢,终于看到用户手册了

ece123 发表于 2016-6-2 14:01:12

抱歉,原附件链接已失效。。。

ye_song 发表于 2016-6-4 21:23:39

收下了,谢谢

jxyctwt 发表于 2016-6-5 02:20:21

有控制伺服運動控制的例程麼?

hxl_led 发表于 2016-6-15 19:36:30

测试了一下,链接还是有效的

zhy72110 发表于 2016-6-15 23:02:43

谢谢分享 太全啦

source.ant 发表于 2016-6-16 06:35:36

好东西,下载学习了。

eastbest 发表于 2016-6-16 08:12:11

多谢分享,准备买一块!

lmf159 发表于 2016-6-16 09:03:03


多谢分享!               

iwinstone 发表于 2016-6-16 15:41:43

看了楼主的实验,用Cyclone不是也可以吗

sokou 发表于 2016-6-16 16:24:49

这芯片功能很强啊

陶新成 发表于 2016-6-19 15:04:12

谢谢分享

huangqi412 发表于 2016-6-19 15:42:05

高大上片子

zcf287 发表于 2016-10-13 17:20:08

挺好的,好人啊

MiniCat 发表于 2016-10-31 13:15:47

好高端的片子。

cay 发表于 2016-11-1 23:17:44

`c_RD_DATA:
                        begin
//                                if(clk_m_cnt==9'd1)
                                if(clk_m_cnt==9'd2)
                                        begin
                                                r_data_valid        <=#Tp        1'b1;
                                        end
                                if( clk_m_cnt==(keep_burst_len-2))       
                                        begin
                                                c_state                <=#Tp        `c_R_PRE;
                                                en_m_clk        <=#Tp        1'b0;        //clear
                                                clk_m_cnt        <=#Tp        9'd0;
                                        end
                        end
                `c_R_PRE:
                        begin
                                c_state                <=#Tp        `c_R_tRP;
                                en_m_clk        <=#Tp        1'b1;
                                clk_m_cnt        <=#Tp        9'd0;
                        end
                `c_R_tRP:
                        begin
                          if(clk_m_cnt== 9'd2)
                                        begin
                                                r_data_valid        <=#Tp        1'b0;
                                                read_ack                <=#Tp        1'b1;
                                        end
                                if(clk_m_cnt== (NUM_CLK_tRP+1) )
                                        begin
                                                en_m_clk                <=#Tp        1'b0;
                                                clk_m_cnt                <=#Tp        9'd0;
                                                read_ack                <=#Tp        1'b0;
                                                c_state                        <=#Tp        `c_IDLE;
                                        end       
                        end
//write control
                `c_WRITE:
                        begin
                                c_state                <=#Tp        `c_WR_DATA;
                                en_m_clk        <=#Tp        1'b1;//prepare for delay
                                clk_m_cnt        <=#Tp        9'd0;
                               
                        end
                `c_WR_DATA:
                        begin
                                if(clk_m_cnt==(keep_burst_len-2))
                                        begin
                                                en_m_clk        <=#Tp        1'b0;        //clear
                                                clk_m_cnt        <=#Tp        9'd0;
                                                w_data_valid<=#Tp        1'b0;        //invalid       
                                                c_state                <=#Tp        `c_W_PRE;                                                       
                                        end
                        end

楼主,你的这段代码中的 clk_m_cnt==(keep_burst_len-2)是怎么计算来的,还有你的keep_burst_len长度最大就是只有一页,即256字节是不是?

jr9910 发表于 2016-11-7 23:58:03

高级货,顶一个!

tjuspring001 发表于 2017-1-19 11:28:35

已经看完,还有许多东西没有理解啊。内心还是期望着后续章节{:biggrin:}

幸福的鱼 发表于 2017-1-19 15:16:16

谢谢楼主的无私奉献{:handshake:}{:smile:}

gasasong 发表于 2017-2-10 08:38:59

终于有手册看了,自己琢磨不透啊

yuan61801987 发表于 2017-2-10 08:44:13

板子太高端了。。。看看资料吧。

愁苦忍着 发表于 2017-2-24 12:22:17

链接已经失效,麻烦楼主有时间更新一下,谢谢

unnormal 发表于 2017-2-28 08:34:49

辛苦了支持一下有时间可以看看谢谢分享

hxl_led 发表于 2017-2-28 21:19:30

资料 百度盘
链接:http://pan.baidu.com/s/1jIgEl1O 密码:64qb

k_er_tlwei 发表于 2017-2-28 23:17:16

楼主更新资料了?去看看

xyz543 发表于 2017-3-10 13:51:32

hxl_led 发表于 2017-2-28 21:19
资料 百度盘
链接:http://pan.baidu.com/s/1jIgEl1O 密码:64qb

好资料,谢谢 hxl_led 兄的分享。

zcf287 发表于 2017-3-17 11:25:16

适合刚起步学FPGA的,不错的资料

heyangfengyue 发表于 2017-3-17 21:07:03

大神,学些了!!!

liyang53719 发表于 2017-3-17 22:00:42

楼主厉害,百度云盘挂掉了

xyz543 发表于 2017-3-22 11:20:20

liyang53719 发表于 2017-3-17 22:00
楼主厉害,百度云盘挂掉了

至目前仍是好的没挂,按 F5 重新 Reload 一次网页就会出现了。
页: [1]
查看完整版本: ep4sgx530 FPGA板 手册(前12章,未完稿) + 配套的工程文件