tigeroser 发表于 2016-3-18 17:14:32

更改一下包含的VHDL文件怎么编译能不过了

顶层文件上也没有原来的展开三角形了,如图,原来,红色地方有个展开的三角形


编译错误:
Error (10028): Can't resolve multiple constant drivers for net "DATA" at CPLD.vhd(120)
Error (12153): Can't elaborate top-level user hierarchy

Error (10029): Constant driver at CPLD.vhd(121)
什么情况,在网上也没找到合适的

qq729101414 发表于 2016-3-18 19:43:45

本帖最后由 qq729101414 于 2016-3-18 19:50 编辑

"DATA"有多个信号驱动?{:titter:}

tigeroser 发表于 2016-3-19 10:24:44

找到问题了,对一个端口连续两次赋值造成了

DepravedLucien 发表于 2016-4-8 10:42:15

data1 多个常数驱动

tigeroser 发表于 2016-4-8 10:48:12

DepravedLucien 发表于 2016-4-8 10:42
data1 多个常数驱动

我那个是连续赋值造成的,不明白你说的常数驱动是怎么回事
页: [1]
查看完整版本: 更改一下包含的VHDL文件怎么编译能不过了